Система схемотехнического моделирования и проектирования Design Center

         

Нелинейные резисторы, конденсаторы и индуктивности


В качестве другого примера макромоделей рассмотрим нелинейные резисторы, конденсаторы и индуктивности, управляемые напряжением [81]. Основная идея создания нелинейной проводимости, например емкости С

, состоит в том, что она представляется в виде произведения эталонной постоянной емкости С
 на управляющее напряжение V
 (рис. 5.16, а):  С
V
.

Умножение на управляющее напряжение производится с помощью ИТУТ, как показано на рис. 5.16, б.

Рис. 5.16. Макромодель нелинейной емкости (а) и схема ее замещения (б)

Ниже приведем описание модели нелинейной емкости на входном языке программы PSpice:

.subckt CNEL   1   2    3   4   5

ENEL   3  6  POLY(2)  (1,2)  (4,5)   0   0   0   0   1

FOUT  4   5   VS  1

Rin   1   2   1MEG

VS    0   6   0

.ends  CNEL

Ток через эталонную емкость C

 пропорционален напряжению V
 на псевдоемкости и управляющему напряжению V
. Результирующий ток через емкость C
, равный току через источник o напряжения VS

с нулевой ЭДС, отражается на выходных зажимах псевдоемкости. Нелинейный резистор и нелинейная индуктивность реализуются аналогично.



Независимые источники сигналов




 Стандартные сигналы

Независимые источники напряжения (V) и тока (I) стандартного вида описываются предложениями

Vxxx  <+узел>  <–узел>  [[ DC ] <значение>] [AC <модуль> [<фаза>]]

+ [ STIMULUS=<имя сигнала> ]  [ спецификация сигнала ]

Ixxx  <+узел> <–узел>  [[DC] <значение>] [AC <модуль> [<фаза>]]

+ [STIMULUS=<имя сигнала>]  [спецификация сигнала ]

После ключевого слова STIMULUS указывается имя сигнала, созданного с помощью программы StmEd. При анализе переходных процессов можно использовать один из стандартных сигналов с помощью конструкции <спецификация сигнала>, имеющий вид

PULSE   <параметры> – импульсный сигнал;

SIN <параметры> – синусоидальный сигнал;

EXP <параметры> – сигнал экспоненциальной формы;

PWL <параметры> – кусочно-линейный сигнал;

SFFM <параметры> – гармонический сигнал с синусоидальной частотной модуляцией. 

Источники могут использоваться во всех видах анализа. По умолчанию все параметры принимают нулевые значения. Параметр DC определяет постоянную составляющую источника напряжения или тока. Для режима AC задаются модуль и фаза (в градусах) источника гармонического сигнала. Приведем примеры:

IBIAS  13   0  2.3MA

VAC     2    3   AC   .001

VACPHS   2   3   AC   .001  90

I3   26   77   DC   0.002   AC   1   SIN(.002   0.05   1.5MEG)

При анализе переходных процессов задаются следующие виды временных зависимостей.

Экспоненциальная функция

задается списком параметров

EXP (

)

и описывается выражением

График этой функции приведен на рис. 3.5, а назначение ее параметров объясняется в табл. 3.12.

Таблица 3.12

Обозначение

Параметр

 Размерность

Значение по умолчанию

y
 

Начальное значение

B или A

 

y
 

Максимальное значение

B или A

t

Начало переднего фронта

с

0

t

Постоянная времени переднего фронта

 c

TSTEP *

t
 

Начало заднего фронта

с

t
+ TSTEP

t

Постоянная времени заднего фронта

с

TSTEP

<
*  TSTEP – шаг по времени вывода на печать результатов расчетов переходных процессов (задается в директиве .TRAN).

Рис. 3.5. Экспоненциальная функция
Приведем пример описания источника напряжения экспоненциальной формы

V1   3   4   EXP ( 0.02   2NS   20NS   40NS   20NS)

Импульсная функция (рис. 3.6) задается списком параметров

PULSE (
)

Смысл ее параметров объясняется в табл. 3.13.

Таблица 3.13

Обозначение

Параметр

Размерность

Значение по умолчанию

y
 

Начальное значение

B или A

y
 

Максимальное значение

B или A

t


Начало переднего фронта

с

0

t


Длительность переднего фронта

с

TSTEP*

t
 

Длительность заднего фронта

с

TSTEP



Длительность плоской части импульса

с

TSTOP**

T

Период повторения

с

TSTOP

* TSTEP – шаг вывода на печать.

** TSTOP – конечное время анализа переходного процесса (параметры TSTEP и TSTOP задаются в директиве .TRAN).

Рис. 3.6. Импульсная функция
Кусочно-линейная функция

задается списком параметров

PWL  [ TIME_SCALE_FACTOR=<значение> ]

+  [ VALUE_SCALE_FACTOR=<значение> ]

+  (точки_отсчета)*

В секции (точки_отсчета) помещаются следующие данные:

– координаты точек;

FILE <имя файла> – чтение координат точек из файла;

REPEAT FOR <n> (точки_отсчета)* ENDREPEAT – повторение n раз;

REPEAT FOREVER (точки_отсчета)* ENDREPEAT – бесконечное повторение.

PWL представляет собой кусочно-линейную функцию y(t).

Соседние точки соединяются прямыми линиями (рис. 3.7). Максимальное количество точек для IBM PC составляет 3995.

Рис. 3.7. Кусочно-линейная функция
 

Приведем примеры.

1) Ступенчатое напряжение – 10 периодов по 1 мкс:

.PARAM N=1

.STEP PARAM  N  1,5,1

V1  1  0  PWL

+  TIME_SCALE_FACTOR=1e-6;  Время задано в миллисекундах



+  REPEAT  FOR  10

+  (.25,  0)  (.26,  {N})  (.99,  {N})  (1,0)

+  ENDREPEAT

2) Считывание сигнала из файла :

V2   1   0   PWL   FILE   triangle.in

В текстовом файле файле triangle. in содержатся значения отсчетов, например 

(1ms, 1)  (2ms, 0)  (3ms, 1)  (4ms, 0)

 

Синусоидальная функция

задается списком параметров

SIN (
)

и описывается выражением



Eе параметры описаны в табл. 3.14.

Таблица 3.14

Обозначение

Параметр

Размерность

Значение по умолчанию

y
 

Постоянная составляющая

B или A

 

y


Амплитуда

B или A

 

f

Частота

Гц

1/TSTOP

t
 

Задержка

с

0

d


Коэффициент затухания

1/с

0

j

 Фаза

град.

0

На рис. 3.8, а приведен график функции при d
=0, а на рис. 3.8, б – при d
>0.



Рис. 3.8. Незатухающая (а) и затухающая (б) синусоидальные функции
Синусоидальная функция с частотной модуляцией

задается списком параметров

SFFM (
)

и описывается выражением



Eе параметры приведены в табл. 3.15.

Таблица 3.15

Обозначение

Параметр

Размерность

Значение по умолчанию

y


Постоянная составляющая

B или A

-

y
 

Амплитуда

B или A

-

f


Частота несущей

Гц

1/TSTOP

m

Индекс частотной модуляции

-

0

f


Частота модуляции

Гц

1/TSTOP

 Сигналы произвольной формы

В связи с тем что программа PSpice имеет довольно бедный набор встроенных источников стандартных сигналов, интересно обсудить возможность генерации сигналов произвольной формы y(t).

В этих целях можно использовать генератор цифровых сигналов (разд. 6.3), логические состояния которого при подключении к аналоговой части схемы автоматически преобразуются в импульсное электрическое напряжение, которое может служить источником сигнала. При необходимости импульсное напряжение сглаживается аналоговым фильтром.


Форма цифрового сигнала задается двояко:

1) путем задания дискретных отсчетов
 непосредственно в описании цифрового сигнала (конструкция STIM);

2) путем предварительной записи отсчетов
 в файл, имя которого указывается в описании цифрового сигнала (конструкция FSTIM).

Второй способ предпочтительнее при формировании длинных отрезков реализаций сигналов сложной формы, в частности случайных сигналов. Отметим, что формируемые таким образом импульсные сигналы имеют постоянное значение на интервале между дискретными отсчетами:
 при
. Тем самым при постоянном шаге дискретизации
 сигнал формируется с запаздыванием на
.

В Design Center 6.1 появилась возможность считывать координаты аналогового кусочно-линейного сигнала PWL из файла  [21] (см. выше).

3.2.4. Зависимые источники сигналов

Зависимые источники напряжения и тока могут быть как линейными, так и нелинейными. Существует четыре их разновидности:

v = е(v) – источник напряжения, управляемый напряжением (ИНУН);

i = f(i) – источник тока, управляемый током (ИТУТ);

i = g(v) – источник тока, управляемый напряжением (ИТУН);

v = h(i) – источник напряжения, управляемый током (ИНУТ).

Линейные управляемые источники

описываются зависимостями v=e·v, i=f·i, i=g·v, v=h·i, где e, f, g и h – коэффициенты передачи.

В описании нелинейных зависимых источников y=y(x
, x
,..., x
) используется полиномиальная функция POLY вида



Здесь x
, x
, ..., x
 – n управляющих переменных. Они могут быть токами или разностью потенциалов; одновременное управление током и разностью потенциалов не допускается. Все коэффициенты полинома P вводить не обязательно, но вводить их необходимо подряд без пропусков.

При одномерном управлении (n=1) допускается следующая форма полинома y(x):



При n=2 полином приобретает вид



Рассмотрим подробно все четыре типа зависимых источников.

Источник напряжения, управляемый напряжением

(ИНУН), задается предложениями:

линейный источник



Exxx  <+узел> <–узел> <+управляющий узел>

+ <–управляющий узел> <коэффициент передачи>

нелинейный источник

Exxx  <+узел> <–узел>

+ POLY(<n>) <<+управляющий узел>  <–управляющий узел>>* 

+   <коэффициент полинома>*

Например, линейный источник ELIN=
, изображенный на рис. 3.9, а, описывается предложением

ELIN 1 2 10 11 2.5

Нелинейный источник ENONLIN=
 (рис. 3.9, б) – предложением

ENONLIN  50  51  POLY(2)  (3,0)  (4,6)  0.0  13.6  0.2  0.005



Рис. 3.9. Линейный (а) и нелинейный (б) источники напряжения, управляемые напряжением, и линейный источник тока, управляемый током (в)
Источник тока, управляемый током

(ИТУТ), задается следующим образом:

 линейный источник

Fxxx  <+узел><–узел> <имя управляющего источника напряжения>

+ <коэффициент передачи>

нелинейный источник

Fxxx  <+узел> <–узел> 

+  POLY(<n>)  <имя управляющего источника напряжения>*

+ <коэффициент полинома>*

Управляющим током служит ток независимого источника напряжения. Например, линейный источник тока
 (рис. 3.9, в) описывается предложением

F1  2  1  V4  7.5

Нелинейный источник тока
 – предложением

FNONLIN  10  11  POLY(2)  VC1  VC2  0.01  13.6  0.2  0.005  0.001

Источник тока, управляемый напряжением

(ИТУН), задается предложениями:

линейный источник

Gxxx <+узел> <–узел> <+управляющий узел>  <–управляющий узел>

+   <коэффициент передачи>

нелинейный источник

G  <+узел> <–узел>

+ POLY(<n>) <<+управляющий узел>  <–управляющий узел>>*

+  <коэффициент полинома>*

Источник напряжения, управляемый током

(ИНУТ), задается следующим образом:

линейный источник



Hxxx <+узел> <–узел>  <имя управляющего источника напряжения>

+<коэффициент передачи>

нелинейный источник

Hxxx <+узел> <–узел>

+  POLY(<n>)  <имя управляющего источника напряжения>*

+  <коэффициент полинома>*

Замечание. В связи с тем что в описаниях линейных управляемых источников не допускается использование параметров и функций, в этих целях можно воспользоваться зависимыми источниками с нелинейными передаточными функциями (см.  3.2.5).

Одно из применений нелинейных зависимых источников – генерация амплитудно-модулированных сигналов. В качестве примера на рис. 3.10, а

показана схема создания источника радиоимпульсов, а на рис. 3.10, б – эпюры напряжений, полученные в результате выполнения следующего задания на моделирование:

RADIOSIGNAL

VSIN   1   0   SIN(0  1 100)

VPULSE   2   0   PULSE(0  1  0  0.25  0.25  0.5  1)

GSIGNAL   0   3   POLY(2)  (1,0)  (2,0)  0  0  0  0  1

R1   1   0   1MEG

R2   2   0   1MEG

R3   3   0   1MEG

.TRAN  0.01  1.6

.PROBE  V(1)  V(2)  V(3)

.END



Рис. 3.10. Формирование  последовательности радиоимпульсов: а - формирователь сигнала; б - эпюры напряжений
Здесь VSIN – источник гармонического сигнала с частотой 100 Гц, VPULSE – источник импульсного напряжения с периодом повторения 1 с. Перемножение этих сигналов с помощью нелинейного источника тока GSIGNAL создает последовательность радиоимпульсов.

Наличие зависимых источников позволяет моделировать не только электрические принципиальные схемы, но и функциональные схемы динамических систем с обратными связями, а также решать системы дифференциальных уравнений.

Рассмотрим в качестве примера усилитель постоянного тока с квадратором в цепи обратной связи, функциональная схема которого показана на рис. 3.11, а. При бесконечно большом коэффициенте передачи усилителя
 выходное напряжение
.



Рис. 3.11. Моделирование функциональных схем:

 а

- устройство вычисления квадратного корня; б

- эквивалентная принципиальная схема для PSpice
<


Составим схему замещения этого усилителя, используя компоненты, разрешенные в программе PSpice (рис. 3.11, б). С помощью нелинейного источника E1 осуществляется вычитание напряжений
 и усиление разностного сигнала в K раз. Выходное напряжение
 возводится в квадрат с помощью нелинейного источника E2. Каждый источник напряжения замкнут на большое сопротивление, чтобы в схеме замещения не было разомкнутых контуров. На входном языке программы PSpice схема замещения описывается следующим образом:

Rin 1   0   1MEG

E1   2   0   POLY(2)  (1,0)  (3,0)  0  1E6  -1E6;  усилитель-сумматор

R1   2   0  1MEG

E2   3   0   POLY(2)  (2,0)  (2,0)  0  0  0  0  1;   квадратор

R2   3   0  1MEG

Замечание. Отметим, что с появлением в схемном редакторе Schematics библиотеки символов функциональных блоков amb.slb можно не составлять электрические схемы замещения функциональных схем типа рис. 3.11, б, а сразу составлять функциональные схемы из имеющихся стандартных блоков (см. Приложение 1).

Продемонстрируем методику решения дифференциальных уравнений

на примере следующей системы  уравнений:



с начальными условиями
. Используя уравнение конденсатора
, смоделируем систему дифференциальных уравнений с помощью зависимых источников тока GX1, GX2, подключенных к конденсаторам C1, C2, как показано на рис. 3.12. На входном языке программы PSpice задание на моделирование составляется следующим образом:

DIFFERENTIAL EQUATIONS

GX1  0  1  POLY(3)  (1,0)  (2,0)  (0,0)  0  -0.3  1  0  1  -3  0  0  0  0  1

GX2  0  2  POLY(3)  (1,0)  (2,0)  (0,0)  0.6  0  -0.6  0  0  4  0  0  0  0  0  -6

C1  1  0  1

C2  2  0  1

R1  1  0  1E9

R2  2  0  1E9

.IC  V(1)=0  V(2)=0.4

.TRAN  0.1s  40s  SKIPBP



Рис. 3.12. Моделирование системы двух дифференциальных уравнений
Переменные
. В программе PSpice при анализе правильности  топологии схемы не принимается во внимание наличие в схеме зависимых источников, управляемых напряжением. Поэтому для устранения диагностических сообщений о том, что к узлам 1 и 2 подсоединено менее двух компонентов, к ним пришлось подключить резисторы R1, R2 с достаточно большим сопротивлением.



3.2.5. Аналоговые функциональные блоки

Аналоговые функциональные блоки моделируются с помощью источников напряжения (E) или тока (G). В отличие от рассмотренных выше зависимых источников здесь разрешается использовать параметры и любые функции от узловых потенциалов, токов (через независимые источники напряжения) и времени.

Нелинейные передаточные функции

задаются по формату

Exxx <+узел> <–узел> VALUE={<выражение>}

Gxxx <+узел> <–узел> VALUE={<выражение>}

После ключевого слова VALUE в фигурных скобках приводится алгебраическое выражение, зависящее от узловых потенциалов, разности узловых потенциалов, токов через независимые источники напряжения и времени, например

ESIGNAL   2   0   VALUE={50mV*SIN(6.28*100kHz*TIME)*V(3,4)}

GPW   6   0   VALUE={V(5)*I(VP)}

Здесь для текущего времени выделено ключевое слово TIME.

 Область управляемых источников с нелинейным законом управления очень обширна. В частности, нелинейные проводимости или резисторы имитируются в программе PSpice с помощью источников напряжения, управляемых собственным током, или источников тока, управляемых собственным напряжением. Пример имитации нелинейного резистора дан на рис. 3.13; его описание имеет вид



Рис. 3.13. Имитация нелинейного резистора с помощью источника тока, управляемого собственным напряжением
G   1   2   VALUE = {F(V(G))}

Нелинейная функция F(VG), описывающая нелинейную зависимость тока от падения напряжения на резисторе, должна быть определена в задании на моделирование до строки с описанием источника G, например

.FUNC   F(X) = 1e-6*(1-EXP(-40*X))

Замечание. В режимах .TRAN и .DC значения источников Exxx, Ixxx вычисляются согласно приведенным в фигурных скобках выражениям. Если это выражение представляет собой линейную функцию нескольких переменных, то в режиме .AC данный источник представляет собой линейный управляемый источник.


При этом, если в выражение входит переменная TIME, она полагается равной нулю. Если же это выражение представляет собой нелинейную функцию одной переменной, то после расчета режима цепи по постоянному току выражение в фигурных скобках линеаризуется и в частотной области такой источник представляет собой линеаризированный управляемый источник. Нелинейную функцию нескольких переменных при расчете частотных характеристик использовать нельзя – результаты будут непредсказуемы. Эти же замечания справедливы и для описываемого ниже табличного задания управляемых источников.

Табличное описание

передаточной функции вводится по формату

Exxx <+узел> <–узел> TABLE {<выражение>} <<аргумент> <функция>>*

Gxxx <+узел> <–узел> TABLE {<выражение>} <<аргумент> <функция>>*

Входом таблицы является <выражение>, которое содержит любую комбинацию напряжений и токов. При обращении к управляемому источнику вычисляется значение выражения и берется значение функции из таблицы с помощью линейной интерполяции между опорными точками, задаваемыми парами чисел (<аргумент>,<функция>). Например, ВАХ туннельного диода, рассматриваемого как нелинейная проводимость (рис. 3.13), может быть задана в виде

GR   1   2   TABLE  {V(GR)}=(0,0)  (.01,1mA)  (.02,1.1mA)  (0.05,2mA)

+  (.06,3mA)  (.065,3.2mA)  (.8,1.5mA)  (1,3mA)  (1.5,5mA)

Координаты опорных точек задаются в порядке возрастания аргумента.

Заметим, что отсутствие аппроксимации табличных значений сплайнами более высоких порядков, чем линейная интерполяция, в ряде случаев приводит к слишком большим ошибкам.

Линейные функциональные блоки

моделируются в терминах соотношения между выходными и входными переменными в частотной области. По директиве .AC определяются значения комплексного коэффициента передачи блока на каждой частоте. При расчете рабочей точки по постоянному току и по директиве .DC берется значение коэффициента передачи на нулевой частоте (поэтому изображения по Лапласу всех узловых потенциалов не должны иметь составляющих типа 1/s).


По директиве . TRAN выходная переменная блока вычисляется как интеграл Дюамеля (свертка входного воздействия с импульсной характеристикой блока), что значительно увеличивает длительность расчетов. Возможны следующие варианты задания линейных блоков.

Передаточная функция управляемого источника задается с помощью преобразования Лапласа:

Exxx <+узел> <–узел> LAPLACE {<выражение>}=

+ {<передаточная функция в s-области>}

Gxxx <+узел> <–узел> LAPLACE {<выражение>}=

+ {<передаточная функция в s-области>}

Например, передаточная функция активного RC-фильтра задается следующим образом:

EARC 2 0 LAPLACE {V(9)}={5/(1+0.01*s)}

Фильтр с чебышевской передаточной функцией

задается в виде

Exxx <+узел> <–узел> CHEBYSHEV {<выражение>}= <тип>

+ <граничная частота>* <затухание>*

Gxxx <+узел> <–узел> CHEBYSHEV {<выражение>}= <тип>

+ <граничная частота>* <затухание>*

Здесь <тип> – тип фильтра, принимающий значение LP – фильтр нижних частот, HP – фильтр верхних частот, BP – полосовой фильтр, BR – режекторный фильтр. Для задания желаемой характеристики фильтра задается список граничных частот (для ФНЧ и ФВЧ задаются две частоты, для ПФ и РФ – четыре) и затем список затуханий на этих частотах в децибелах; порядок следования граничных частот безразличен. Приведем примеры:

E1   3   4   CHEBYSHEV {V(10)}=LP  800  1.2K  0.1dB  50dB

E2   5   6   CHEBYSHEV {V(10)}=BP  800  1.2K  2K  3K  0.1dB  50dB

Табличное описание

комплексной передаточной функции в частотной области задается по формату

Exxx <+узел> <–узел> FREQ {<выражение>}=[KEYWORD]

 + <<частота>,<модуль>,<фаза>>*  [DELAY]=<задержка>]

Gxxx <+узел> <–узел> FREQ {<выражение>}=[KEYWORD]



 + <<частота>,<модуль>,<фаза>>*  [DELAY]=<задержка>]

Здесь частота задается в герцах, модуль передаточной функции – в децибелах, фаза передаточной функции – в градусах. Значения опорных точек указываются в порядке возрастания частоты. Максимальное количество точек – 2048. Например, передаточная функция типа фильтра верхних частот задается следующим образом:

EHIGHPASS   2   0   FREQ {V(9)} (0,-60,69.1) (2kHz,-3,45) (5kHz,0,0)

С помощью ключевого слова KEYWORD изменяется способ задания таблицы передаточной функции. Оно может принимать следующие значения:

MAG – задание абсолютных значений передаточной функции вместо децибел;

DB – задание значений передаточной функции в децибелах (принимается по умолчанию);

RAD – задание фазы в радианах;

DEG – задание фазы в градусах (принимается по умолчанию);

R_I – задание действительной и мнимой части передаточной функции вместо ее модуля и фазы.

Ключевое слово DELAY задает дополнительную задержку, которая принимается во внимание при расчете фазовой характеристики фильтра.

В качестве еще одного примера составим структурную схему моделирования рассмотренной выше системы дифференциальных уравнений



с помощью интеграторов [84]. На рис. 3.14, а

представлена функциональная схема моделирования этой системы уравнений, а на рис. 3.14, б – ее реализация в компонентном базисе программы PSpice. В ней использованы управляющие источники напряжения EI1, EI2, заданные с помощью преобразования Лапласа и выполняющие операции интегрирования, а также нелинейные управляемые источники напряжения EF1, EF2 для вычисления правых частей системы уравнений. На входном языке программы PSpice задание на решение рассматриваемой системы уравнений имеет вид

Laplace transforms

EI1   1   0   LAPLACE  {V(3)}={1/s}

EI2   2   0   LAPLACE  {V(4)}={1/s}

EF1  3   0   POLY(3)  (1,0)  (2,0)  (0,0)  0  -0.3  1  0  1  -3  0  0  0  0  1

EF2  4   0   POLY(3)  (1,0)  (2,0)  (0,0)  0.6  0  -0.6  0  0  4  0  0  0  0  0  -6



R1  1  0  1E9

R2  2  0  1E9

R3  3  0  1E9

R4  4  0  1E9

.IC  V(1)=0  V(2)=0.4

.TRAN  0.1s  40s  SKIPBP



Рис. 3.14. Функциональная схема моделирования системы двух дифференциальных уравнений (а) и ее реализация в виде принципиальной схемы (б)
3.2.6. Полупроводниковые приборы

Полупроводниковые приборы, математические модели которых встроены в программу PSpice, описываются большим количеством параметров, задаваемых с помощью директивы .MODEL. Перечень и смысл этих параметров подробно объясняется в гл. 4. Директиву .MODEL можно поместить в описание анализируемой схемы или в файл библиотеки, доступ к которому осуществляется с помощью директивы .LIB. Описание конкретного полупроводникового прибора содержит его имя, номера узлов подключения, имя модели и коэффициент кратности Area, с помощью которого имитируется параллельное включение нескольких одинаковых приборов.

Диод описывается предложением

Dxxx  <узел анода> <узел катода> <имя модели>

+   [<коэффициент кратности Area>]

Модель диода задается в виде

.MODEL <имя модели>  D [(параметры модели)]

Пример 1. Включим между узлами 1 и 2 диод D9, параметры которого вводятся с помощью директивы .MODEL

D1   1   2   D9B

.MODEL   D9B   D (IS=5UA  RS=14  BV=2.81  IBV=5UA)

Пример 2. Включим между узлами 1 и 2 диод D104A, параметры которого записаны в библиотечном файле d.lib

D1   1   2   D104A

.LIB   D.LIB

Биполярный транзистор

описывается предложением

Qxxx  <узел коллектора> <узел базы> <узел эмиттера>

+ [<узел подложки>] <имя модели> [<коэффициент кратности Area>]

Модели биполярных транзисторов задаются в виде

.MODEL  <имя модели>  NPN [(параметры модели)]

.MODEL  <имя модели>  PNP [(параметры модели)]

.MODEL  <имя модели>  LPNP [(параметры модели)]

Полевой транзистор с управляющим p–n-переходом описывается предложением



Jxxx <узел стока> <узел затвора> <узел истока>

+ <имя модели> [<коэффициент кратности Area>]

Модели полевых транзисторов задаются в виде

.MODEL  <имя модели>  NJF [(параметры модели)]

.MODEL  <имя модели>  PJF [(параметры модели)]

Арсенид-галлиевый полевой транзистор

с управляющим p–n-переходом и каналом n-типа описывается предложением

Bxxx  <узел стока> <узел затвора> <узел истока>

+ <имя модели> [<коэффициент кратности Area>]

Модель арсенид-галлиевого полевого транзистора задается в виде

.MODEL <имя модели>  GASFET [(параметры модели)]

МОП-транзистор описывается предложением

Mxxx <узел стока> <узел затвора> <узел истока>

+ <узел подложки> <имя модели>

+ [L=<значение>]  [W=<значение>] [AD=<значение>]

+ [AS=<значение>]  [PD=<значение>] [PS=<значение>]

+ [NRD=<значение>] [NRS=<значение>] [NRG=<значение>]

+ [NRB=<значение>]   [M=<значение>]

Необязательные параметры приведены в табл. 3.16.

Таблица 3.16

Обозначение

Параметр

Значение по умолчанию

Размерность

L

Длина канала

DEFL

м

W

Ширина канала

DEFW

м

AD

Площадь диффузионной области стока

DEFAD

м

AS

Площадь диффузионной области истока

DEFAS

м

PD

Периметр диффузионной области стока

0

м

PS

Периметр диффузионной области истока

0

м

NRD

Удельное относительное сопротивление стока

 1

NRS

Удельное относительное сопротивление истока

 1

NRG                         

Удельное относительное сопротивление затвора

 0

NRB  

Удельное относительное сопротивление подложки

 0

M

Масштабный коэффициент

 1

<


Параметры L и W могут быть заданы при описании модели МОП-транзистора по директиве .MODEL; кроме того, параметры L, W, AD и AS по умолчанию принимают значения, присваиваемые по директиве .OPTIONS (см. разд. 3.3.7).

Модели МОП-транзисторов задаются в виде

.MODEL   <имя модели>  NMOS [(параметры модели)]

.MODEL  <имя модели >  PMOS [(параметры модели)]

 

3.2.7. Макромодели

Отдельные фрагменты цепи или схемы замещения компонентов имеет смысл оформлять в виде макромоделей (подсхем). Описание макромодели начинается директивой .SUBCKT и заканчивается директивой .ENDS. Между ними помещаются описания компонентов, входящих в состав макромодели

.SUBCKT <имя макромодели> <список узлов>

+ [OPTIONAL:<<узел интерфейса>=<значение по умолчанию>>*]

+ [PARAMS:<имя параметра>=<значение>*]

+ [TEXT:<<имя текстовой переменной>=< текст>>*]

{описание компонентов}

 .ENDS  [имя макромодели]

Ключевое слово OPTIONAL используется для спецификации одного или более необязательных узлов макромодели – указываются имя узла и его значение по умолчанию. Если при вызове макромодели эти узлы не указываются, используются их значения по умолчанию, что удобно для задания источников питания цифровых устройств. После ключевого слова PARAMS приводится список параметров, значения которых передаются из основной цепи в макромодель, после ключевого слова TEXT –  текстовая переменная, передаваемая из описания основной цепи в описание макромодели (используется только при моделировании цифровых устройств).

Между директивами .SUBCKT и .ENDS можно помещать описания других макромоделей и другие директивы (начиная с версии Design Center 5.4). Приведем пример:

* Макромодель операционного усилителя K140УД6A

* Создана с помощью программы Parts

* Соединения:             неинвертирующий вход

*                                    ¦    инвертирующий вход

*                                    ¦    ¦   источник положительного питания



*                                    ¦    ¦   ¦   источник отрицательного питания

*                                    ¦    ¦   ¦   ¦   выход

*                                    ¦    ¦   ¦   ¦   ¦

.subckt   K140UD6A  1  2  3  4  5

c1  11  12  2.887E-12

c2    6    7  30.00E-12; емкость внутренней коррекции

dc    5  53  dx

de  54    5  dx

dlp 90  91  dx

dln 92  90  dx

dp   4    3   dx

egnd  99  0  poly(2)  (3,0)  (4,0)  0  .5  .5

fb   7  99  poly(5)  vb  vc  ve  vlp  vln  0  12.38E6  -10E6  10E6  10E6  -10E6

ga  6   0  11  12  188.5E-6

gcm 0 6 10 99 18.85E-9

iee  10  4  dc  75.06E-6

hlim  90   0  vlim  1K

q1  11   2  13  qx

q2  12   1  14  qx

r2    6   9  100.0E3

rc1   3  11  5.305E3

rc2   3  12   5.305E3

re1  13  10   4.612E3

re2  14  10   4.612E3

ree  10   99  2.665E6

ro1   8   5   30

ro2   7  99  30

rp     3   4   11.01E3

vb    9    0   dc   0

vc    3    53  dc   3

ve  54    4    dc   3

vlim  7  8    dc   0

vlp   91  0   dc  25

vln    0  92  dc  25

.model   dx   D ( Is=800.0E-18 )

.model   qx   NPN ( Is=800.0E-18  Bf=1.250E3 )

.ends

Вызов макромодели, т. е. включение ее в нужное место цепи, осуществляется предложением

Xxxx <список узлов> <имя макромодели>

+ [PARAMS:<<имя параметра>=<значение>>*]

+ [TEXT:<<имя текста>=<текст>>*]

Например, описанная выше макромодель ОУ  К140УД6А может быть включена в схему предложением

X1   4   0   15   16   8   K140UD6A

Имена узлов, устройств и моделей в описании макромодели являются локальными. Поэтому в основной цепи и в макромодели можно использовать совпадающие имена. При обращении в основной цепи к какому-нибудь имени макромодели применяют так называемые составные имена. Они образуются из имени макромодели и внутреннего имени, разделенных точкой. Например, конденсатор C2 макромодели X1 имеет составное имя X1.C2. При ссылке на компоненты макромоделей составные имена заключаются в квадратные скобки, например, V([XOP1.X3.R2]) –  падение напряжения на резисторе R2, который входит в состав макромодели X3, которая, в свою очередь, является составной частью макромодели операционного усилителя XOP1.



В программе PSpice имеются встроенные макромодели операционного усилителя, компаратора напряжения, регулятора напряжения и

стабилизатора напряжения, параметры которых рассчитываются специальной программой Parts по их паспортным данным (разд. 7.3). Кроме того, имеются макромодели оптоэлектронных приборов, тиристоров, кварцевых резонаторов и т. п., составляемые фирмами-производителями и отдельными пользователями (см. гл. 5).

Заметим, что использование встроенной модели ОУ при расчете схем, состоящих даже из небольшого количества ОУ, приводит к большим затратам машинного времени. Поэтому в тех случаях, когда не требуется высокая точность воспроизведения динамических характеристик ОУ, целесообразно применять упрощенные модели ОУ, примеры которых приведены в [14].

Описание макромодели можно поместить непосредственно в файл задания на моделирование или в библиотечный файл макромоделей ОУ, например с именем op.lib. Тогда для включения этого ОУ в схему необходимо сначала по директиве .LIB обеспечить доступ к этому файлу и затем указать номера узлов подключения макромодели

.LIB  D:\PSPICE\LIB\op.lib

X1  17  18   0  22  9  25  K140UD8A

Обратим внимание на механизм передачи параметров из описания основной схемы в описание макромодели и проиллюстрируем его на следующем примере. Рассмотрим фрагмент описания схемы

Test

.param  C=1p  LK=8m

.step  param  C  list  5p 10p

X1  5  20  DL  params: C={C} L={LK}

.subckt  DL  1  2  params: C=0  LK=5m  R=1k

R1  1  2  {R}

C1  2  0  {C}

L1  1  2  {LK}

.ends  DL

. . . . . . . .

.end

Здесь в директиве .subckt определены параметры макромодели C и R и заданы их значения по умолчанию. При вызове макромодели X1 указаны значения параметра C, принимающего значения 5 и 10 пФ, и параметра LK=8 мГн. Сопротивление резистора R1 по умолчанию принимает значение 1 кОм. Здесь специально подчеркнуто, что локальные и глобальные параметры могут принимать как совпадающие (C={C}), так и различные (L={LR}) обозначения.


Общие сведения


Редактор печатных плат PCBoards позволяет проектировать многослойные печатные платы с двусторонним размещением компонентов. Design Center содержит библиотеку более 1200 типовых корпусов (Footprint Library) и  библиотеку данных об упаковке около 32 000 компонентов (Package Library).  В автономном режиме PCBoards воспринимает информацию о списке соединений платы в формате распространенной программы PADS. Возможно также создание чертежа печатной платы с самого начала, без файла принципиальной схемы. Однако более удобно работать с PCBoards в составе Design Center. Принципиальная схема создается с помощью Schematics, и информация о ней передается в PCBoards для переноса на плату из библиотек Footprint Library и Package Library графических изображений корпусов компонентов с указанием логических соединений между  выводами, по принятой в известной системе P-CAD терминологии этот процесс называется упаковкой схемы на печатную плату [19]. Затем компоненты вручную размещаются на плате и проводится трассировка соединений в автоматическом или интерактивном режиме с помощью  программы  SPECCTRA версии 6.0 корпорации Cooper&Chyan Technology (CCT), поставляемой в составе Design Center. По результатам разработки печатных плат создаются командные файлы для изготовления фотошаблонов с помощью фотопостроителей Gerber (в форматах RS-274D, RS-274X) и командные файлы для сверлильных станков с числовым программным управлением (ЧПУ – Numerically-Controlled, NC). Кроме того, возможна передача данных в программу AutoCAD для нанесения размеров на чертежи печатных плат и выпуска конструкторской документации. К базовой конфигурации  программы SPECCTRA дополнительно поставляется программа автоматического и интерактивного размещения компонентов на плате AutoPlace и собственный графический редактор печатных плат EditRoute. С их помощью нельзя создать новую печатную плату или добавить новые компоненты на существующую плату, они предназначены исключительно для завершения разработки печатных плат, подготовленных другими программами (PCBoards, P-CAD, PADS и др.), и коррекции результатов трассировки.


Взаимосвязь программ Schematics и PCBoards и отдельных библиотек иллюстрируется рис. 8.1. PCBoards функционально подразделяется на редактор печатных плат Layout Editor и редактор типовых корпусов компонентов Footprint Editor. В режиме Layout Editor выполняются все основные операции по разработке печатной платы, включая вызов редактора Footprint Editor. Footprint Editor создает графические изображения типовых корпусов компонентов и информацию об упаковке (координаты расположения выводов и тип компонента, со штыревыми или планарными выводами). Информация об упаковке каждого конкретного компонента содержится в библиотеке Package Library. Информация о схеме (в формате Schematics или PADS) передается в Layout Editor, который извлекает необходимые данные из библиотек Package Library, Footprint Library и Padstack Library и переносит их на экран. После этого вычерчивается контур печатной платы произвольной формы (в этом отличие от P-CAD, в котором контур печатной платы вычерчивался линейно-ломаными линиями без применения дуг) и выполняются операции размещения компонентов и трассировки печатных проводников.

В PCBoards применяется метрическая и английская система единиц, разрешающая способность составляет 0,01 мил в английской системе  (1 мил=0,001 дюйма) и 0,00025 мм в метрической. Изменять систему единиц можно на любой фазе проектирования печатной платы (в отличие от P-CAD, в которой систему единиц нужно выбирать до начала создания платы и в дальнейшем изменять нельзя).



Рис. 8.1. Файловая структура PCBoards
 

Соглашения о стандартных расширениях имен файлов PCBoards приведены в табл. 8.1.

Таблица 8.1

Расширение имени файла

Назначение файла

.ads

Описание апертур фотоплоттера

.bco

Обратная корректировка схемы по изменениям платы (ECO)

.bnl

Список соединений печатной платы (Netlist)

.cdf

Описание компонентов,  включаемое в спецификацию платы

.dnn

Информация для сверления отверстий (nn – номер страницы)

.dxf

Файл в формате AutoCAD

.flb

Библиотека типовых корпусов Footprint Library

.flg

Протокол прямой корректировки платы (ECO)

.fpd

Внешнее текстовое описание типовых корпусов (используется при импорте и экспорте информации о печатных платах)

.glg

Описание фотошаблона (передается совместно с файлом .gnn)

.gnn

Управляющий файл фотоплоттера (nn – номер страницы)

.job

Внешний текстовый файл (используется при импорте и экспорте информации о печатных платах)

.nlf

Список соединений принципиальной схемы

.pad

Список соединений в формате PADS

.pca

Текстовый файл базы данных печатной платы

.pkg

Внешний текстовый файл с информацией об упаковке (используется при импорте и экспорте информации о печатных платах)

.plb

Библиотека информации об упаковке Package Library

.psl

Библиотека контактных площадок Padstack Library

.slb

Библиотека символов Symbol Library

.tdf

Данные для сверления отверстий

.ymp

Список соответствий имен корпусов в программах PADS  и PCBoards

Отчеты

.apr

Список апертур фотоплоттера

.atr

Перечень назначенных пользователем атрибутов

.bom

Перечень компонентов

.drc

Ошибки, выявленные при  контроле зазоров (DRC)

.fpr

Перечень корпусов

.hdr

Список отверстий

.loc

Расположение компонентов

.sta

Общая информация о плате

.ucr

Перечень неразведенных цепей

Автотрассировка

 

.cco

Выходной файл результатов автоматической трассировки

.cct

Правила автоматической трассировки

.did

Протокол команд

.do

Команды управления процессом автоматической трассировки

.dsn

База данных печатной платы (входной файл проекта)

.rpt

Информация о процессе автоматической трассировки

.rte

Данные об успешно разведенных цепях

.ses

Результаты трассировки платы (файл текущей сессии)

.std

Настройка цветов

.sts

Статистический отчет о результатах автоматической трассировки

.w

Правила трассировки проводников

<




Информация о печатной плате заносится в PCBoards на 19 цветных слоях, дополнительно к которым пользователь может определить еще 48  слоев [UserDefined]. Созданный один раз дополнительный слой может занимать различное промежуточное положение в многослойной печатной плате. Сигнальные слои должны располагаться между верхним [Top] и нижним [Bottom] слоями. Перечень слоев печатных плат приведен в табл. 8.2.

Таблица 8.2

Имя слоя

Назначение слоя

[Задается пользователем]

Любые графические объекты и информация об электрических соединениях для трассировки цепей сигналов

[BoundaryTop]

Габариты корпусов компонентов на верхней стороне платы

[SMTAssyDrwTop]

Графика выводов планарных компонентов на верхней стороне платы

[AssyDrwTop]

Графика корпусов планарных компонентов на верхней стороне платы

[SilkTop]

Графика корпусов компонентов на верхней стороне платы

[SolderMaskTop]

Графика маски пайки на верхней стороне платы

[PasteMaskTop]

Графика вставки пайки на верхней стороне платы

[Top]

Верхняя сторона платы

[Bottom]

Нижняя сторона платы

[PasteMaskBottom]

Графика вставки пайки на нижней стороне платы

[SolderMaskBottom]

Графика маски пайки на нижней стороне платы

[SilkBottom]

Графика корпусов компонентов на нижней стороне платы

[AssyDrwBottom]

Графика корпусов планарных компонентов на нижней стороне платы

[SMTAssyDrwBottom]

Графика выводов планарных компонентов на нижней стороне платы

[BoundaryBottom]

Габариты корпусов компонентов на нижней стороне платы

[BoardOutline]

Физический контур печатной платы

[BdSignalKeepon]

Область, где разрешено размещать проводники, компоненты, переходные отверстия и полигоны (сплошные и штрихованные)

[DrillSymbol]

Графика отверстий

[Ratsnest]

Логические соединения выводов компонентов

[DRC]

Информация о технологических нарушениях, выявленных по команде DRC


Операционные усилители


Математические модели операционных усилителей (ОУ) в отличие от встроенных моделей диодов и транзисторов представлены в виде макромоделей (подцепей), которые описываются на входном языке программы PSpice с помощью директивы .SUBCKT (см. п. 3.2.7).

Стандартная модель ОУ с входным каскадом на биполярных транзисторах представлена на рис. 5.1 [9, 38] (ОУ с полевыми транзисторами имеет аналогичную схему). В этой модели из реальной схемы ОУ исключены все транзисторы, кроме двух транзисторов входного дифференциального каскада, что повышает скорость моделирования за счет некоторого снижения точности. Существуют четыре разновидности этой схемы, в которых дифференциальный каскад образован биполярными p–n–p- и n–p–n-транзисторами и полевыми транзисторами с управляющим p–n-переходом и каналами p- и n-типов. Параметры этих моделей рассчитываются с помощью программы Parts (см. разд. 7.3) по следующим паспортным данным:

– напряжение источников питания;

– максимальные значения положительного и отрицательного выходного напряжения;

– максимальные скорости нарастания положительных и отрицательных выходных напряжений;

– мощность потребления в статическом режиме;

– емкость коррекции (внутренней или внешней);

– входной ток смещения;

– коэффициент усиления дифференциального сигнала на низких частотах;

– частота единичного усиления;

– коэффициент подавления синфазного сигнала;

– дополнительный фазовый сдвиг на частоте единичного усиления, определяемый наличием второго полюса;

– выходные сопротивления на низких и высоких частотах;

– максимальный выходной ток короткого замыкания.

Рис. 5.1. Стандартная макромодель  ОУ с входным дифференциальным каскадом на биполярных n–p–n-транзисторах

Входной дифференциальный каскад на транзисторах Q1, Q2 моделирует такие эффекты, как наличие токов смещения и зависимость скорости нарастания выходного напряжения от входного дифференциального напряжения. Емкость CE

позволяет отразить несимметричность выходного импульса ОУ в неинвертирующим включении.
Емкость C1 вместе с емкостями переходов транзисторов позволяют имитировать двухполюсный характер частотной характеристики ОУ. Управляемые источники тока GA, GCH и резисторы R2, R02 моделируют дифференциальное и синфазное усиление напряжения. С помощью емкости C2, включаемой в схему по выбору пользователя (на рис. 5.1 изображена пунктиром), можно имитировать внутреннюю или внешнюю коррекцию ОУ.

Нелинейность выходного каскада ОУ моделируется следующим образом: элементы DLN, DLP, R01 ограничивают максимальный выходной ток, а элементы DC, DE, VC, VE

– размах выходного напряжения.

Более совершенная модель ОУ  разработана на фирме Precision Monolithics  [14, 20]. С ее помощью удается устранить три основных недостатка, присущих модели на рис. 4.1:

– более точное моделирование ситуации, когда среднее значение напряжения питания не равно нулю (соединения с "землей" у этой модели нет);

– правильное воспроизведение полярности выходного тока, что позволяет моделировать ОУ с обратной связью по току;

– возможность имитации любого количества полюсов и нулей, нужного для точного описания частотной характеристики реального ОУ (в модели на рис. 5.1 учтено только два полюса, что недостаточно для моделирования быстродействующих ОУ).

Заметим, что стандартная модель ОУ программы PSpice (рис. 5.1) и тем более упомянутая выше прецизионная модель при расчете схем, состоящих даже из небольшого количества ОУ, требуют больших затрат машинного времени. Поэтому в тех случаях, когда не нужна высокая точность воспроизведения динамических характеристик ОУ, целесообразно использовать приведенные ниже упрощенные модели ОУ.

Предельно идеализированный ОУ представляет собой источник напряжения, управляемый напряжением, как показано на рис. 5.2, а. Например, безынерционный ОУ с коэффициентом передачи напряжения 20 000 описывается предложением

EOP 10 0 1 2 2e4





Рис. 5.2. Простейшие макромодели ОУ:

а

- ОУ как идеальный источник напряжения, управляемый напряжением; б - однополюсная макромодель ОУ с нелинейной передаточной характеристикой
<


Несколько более сложная схема замещения ОУ, в которой учитываются нелинейность проходной характеристики и наличие одного полюса частотной характеристики, изображена на рис. 5.2, б. Диоды VD1, VD2, на которые подаются запирающие напряжения от источников постоянного напряжения V1, V2, имитируют нелинейность проходной характеристики ОУ. Сопротивления Rвх, Rс равны входным сопротивлениям для дифференциального и синфазного сигналов, конденсаторы Cвх, Сс имитируют частотные зависимости входных сопротивлений. Коэффициент передачи ОУ равен

K(s)=K
/(1+ s
),

 где K
=G1·R1·G2·Rвых - коэффициент передачи на постоянном токе,
=R1·C1=K
/(2
fт) - постоянная времени первого полюса, fт - частота единичного усиления.

Высшие полюса учитываются в модели введением дополнительных RC-цепей. Ограничение скорости нарастания выходного напряжения имитируется введением в макромодель еще одного управляемого источника тока с ВАХ типа симметричного ограничителя с линейным участком при малых входных напряжениях.

Обратим внимание, что при построении макромоделей ОУ следует избегать кусочно-линейных аппроксимаций ВАХ зависимых источников тока, чтобы избежать проблем сходимости итерационных методов вычислений из-за недифференцируемости таких зависимостей.

Замечания. 1. В ОУ с входным каскадом на полевых транзисторах часто некорректно моделируется режим по постоянному току, если входное сопротивление ОУ соизмеримо с параметром 1/GMIN, где GMIN – минимальная проводимость ветви, равная по умолчанию 10
 См. Наиоблее естественный способ –

уменьшение GMIN до 10
 См –

не всегда возможен из-за возникающих проблем сходимости вычислительных алгоритмов и, как следствие, потери точности моделирования. Другой способ заключается во введении в стандартную модель ОУ (рис. 5.1) компенсирующих источников тока [34].

2. В типовой макромодели ОУ (рис. 5.1) не учитывается смещение нуля выходного напряжения, что  имитируется подключением ко входу источника напряжения смещения.

Линейная шумовая модель ОУ.


Стандартная макромодель ОУ (рис. 5.1) не отражает его шумовых свойств. В связи с этим представим реальный шумящий ОУ в виде модели нешумящего ОУ, ко входу которого подключены источники шумового напряжения e
 и токов I
, I
 (рис. 5.3) [3, 42]. В ОУ с первым каскадом на полевых транзисторах источники шумовых токов I
, I
  малосущественны и ими можно пренебречь. Спектральные плотности шумовых токов I
, I
  одинаковы и описываются такими же выражениями, как спектральная плотность шума e


 





Рис. 5.3. Представление внутренних шумов ОУ эквивалентными генераторами
где первая составляющей каждой спектральной плотности имеет характер широкополосного "белого" шума, а вторая – низкочастотного фликкер–шума. Данные о спектральной плотности S
 приведены в Приложении 4. Полные данные шумовых моделей некоторых отечественных и зарубежных ОУ приведены в табл. 5.1.

Таблица 5.1

Тип ОУ  

  

 

  



K


f
 

Rвх

Rвых

нВ/


кГц

пА/


кГц

дБ

МГц

кОм

Ом

140УД1Б

15

5,0

0,5

13

65

5,0

4

400

153УД1

7

0,2

0,2

2

86

0,5

100

200

A741

20

0,2

0,55

2

111

1,54

400

200

SSS725

7

0,007

0,11

0,2

115

2,0

600

70

В схеме замещения на рис. 5.3 в качестве модели нешумящего ОУ целесообразно использовать простейшую линейную модель типа приведенной на рис. 5.2, а. Для моделирования источников шума i
, i
, e
 целесообразно использовать полупроводниковый диод, подключенный к источнику постоянного тока, величина которого определяет уровень шума (см. разд. 3.1). Если имитирование фликкер–шума ОУ не представляет интереса, то в качестве источника шума вместо диода целесообразно выбрать резистор (см. разд. 3.3.2).

В качестве примера на рис. 5.4 показано, как смоделировать источник шумового напряжения с заданной спектральной плотностью S
 и граничной частотой фликкер-шума 
 [23]. Источник постоянного тока I1 задает режим диода D1, флюктуирующая составляющая напряжения на шумящем диоде через разделительный конденсатор C1 передается на резистор R1, напряжение на котором управляет источником напряжения E1=K·V
.



Рис. 5.4. Модель источника напряжения шума

Описание компонентов


Параметры компонентов указываются двумя способами:

1) непосредственно в предложении, описывающем включение компонента в схему;

2) с помощью директивы .MODEL, имеющей структуру

.MODEL <имя модели> [ AKO:<имя модели прототипа>]

+ <имя типа модели> ([<имя параметра>=<значение>

+ [<спецификация случайного разброса значения параметра>]]*

+ [T_MEASURED=<значение>] 

+ [[ T_ABS><значение>]  или  [T_REL_GLOBAL=<значение>]  или

+ [T_REL_LOCAL=<значение>]])

Здесь <имя модели> –  имя модели компонента схемы, например RLOAD, KT315V, D104. После ключевого слова AKO (A Kind Of) помещается ссылка на имя модели прототипа, что позволяет указывать только значения отличающихся параметров. Тип компонента определяется  <именем типа модели>, как указано в табл. 3.6.

Таблица 3.6

Имя типа модели

Тип компонента

Аналоговые компоненты

RES

Резистор

CAP

Конденсатор

CORE

Магнитный сердечник трансформатора

IND

Индуктивность

D

Диод

GASFET

Арсенид-галлиевый полевой транзистор с каналом n-типа

NPN

Биполярный n–p–n-транзистор

PNP

Биполярный p–n–p-транзистор

LPNP

Боковой биполярный p–n–p-транзистор

NJF

Полевой транзистор с каналом n-типа

PJF

Полевой транзистор с каналом p-типа

NMOS

МОП-транзистор с каналом n-типа

PMOS

МОП-транзистор с каналом p-типа

TRN

Линия передачи

VSWITCH

Ключ, управляемый напряжением

ISWITCH

Ключ, управляемый током

Устройства интерфейса

DINPUT

Аналого-цифровой интерфейс

DOUTPUT

Цифро-аналоговый интерфейс

Цифровые устройства

UADC

 Аналого-цифровой преобразователь

UDAC

Цифро-аналоговый преобразователь

UIO

Модель входа/выхода цифрового устройства

UGATE

Стандартный вентиль

UTGATE

Вентиль с тремя состояниями

UBTG

Двунаправленный переключающий вентиль

UEFF

Триггер с динамическим управлением

UGFF

Триггер с потенциальным управлением

UDLY

Цифровая линия задержки

UPLD

Программируемые логические матрицы

UROM

Постоянное запоминающее устройство

URAM

Оперативное запоминающее устройство

<
В директиве .MODEL в круглых скобках указывается список значений параметров модели компонента (если этот список отсутствует или не полный, то недостающие значения параметров модели назначаются по умолчанию). Приведем примеры этой директивы:
.MODEL RLOAD RES (R=1.5 TC1=0.2 TC2=.005)
.MODEL D104 D (IS=1E-10)
.MODEL KT315V NPN (IS=1E-11 BF=50 DEV=5% LOT=20%)
.MODEL CK CAP (C=1 DEV=0.1)
.MODEL KT315G AKO:KT315A NPN (BF=130)
Каждый параметр может принимать случайные значения относительно своего номинального значения в соответствии со <спецификацией случайного разброса значения параметра>, принимаемой во внимание только при статистическом анализе по директивам .MC и .WCASE (разд. 3.3.4).
Начиная с версии Design Center 6.1 введены два способа задания температур пассивных компонентов и полупроводниковых приборов (C, L, R, B, D, J, M и Q). Во-первых, по директиве .MODEL задается температура, при которой измерены  входящие в нее параметры
T_MEASURED=<значение>
Это значение заменяет температуру Tnom, устанавливаемую по директиве .OPTIONS (по умолчанию 27
C).  Во-вторых, можно установить физическую температуру каждого устройства, заменяя глобальную температуру, устанавливаемую по директивам .TEMP, .STEP TEMP или .DC TEMP. Это возможно сделать, задавая:
1) значение абсолютной температуры устройства  T_ABS;
2) относительную температуру T_REL_GLOBAL, так что абсолютная температура равна глобальной температуре плюс T_REL_GLOBAL;
3) относительную температуру T_REL_LOCAL, так что абсолютная температура данного устройства равна абсолютной температуре устройства-прототипа (модель ACO) плюс T_REL_LOCAL.
Описания аналого-цифровых (O) и цифро-аналоговых (N) интерфейсов и цифровых устройств (U) приведены в гл. 6. Здесь же дадим правила описания аналоговых компонентов.

Основные характеристики системы


Корпорацией MicroSim разработаны варианты системы программ Design Center для различных операционных систем. Наиболее популярным является вариант для Windows. В него входят следующие программы (их конкретный перечень зависит от варианта поставки):

Schematics – графический редактор принципиальных схем, который одновременно является управляющей оболочкой для запуска основных модулей системы на всех стадиях работы с проектом;

PSpice, PSpice Basics – моделирование аналоговых устройств;

PSpice A/D, PSpice A/D Basics+

– моделирование смешанных аналого-цифровых устройств;

PLogic – моделирование цифровых устройств. Имеет такие же функциональные возможности, как и программа PSpice A/D;

PLSyn – синтез цифровых устройств на базе интегральных схем (ИС) с программируемой логикой;

StmEd – редактор входных сигналов (аналоговых и цифровых);

Probe – графическое отображение, обработка и документирование результатов моделирования;

Parts – идентификация параметров математических моделей диодов, биполярных, полевых, МОП- и арсенид-галлиевых транзисторов, операционных усилителей, компараторов напряжения, регуляторов напряжения и магнитных сердечников по паспортным данным;

PSpice Optimizer – параметрическая оптимизация аналого-цифровых устройств по заданному критерию при наличии нелинейных ограничений;

Polaris – проверка целостности сигнала, т. е. проведение моделирования с учетом паразитных емкостей и индуктивностей, присущих реальным печатным платам;

Device Equation – исходный текст встроенных математических моделей  полупроводниковых приборов на языке Си. В них можно изменять имена параметров, вводить псевдонимы, добавлять параметры и модифицировать уравнения моделей. Модели новых компонентов можно вводить только под именем одной из существующих моделей. После компиляции отредактированных текстов они компонуются с объектным кодом программы PSpice, который входит в комплект поставки Device Equation, в результате чего получается загрузочный файл pspice.exe. В версии Design Center 6.2 модуль Device Equation поставляется только на платформе Windows;


PCBoard и Autorouter – графический редактор печатных плат с возможностями автотрассировки. Дополнительно поставляется автотрассировщик SPECCTRA фирмы Cooper&Chyan Technology;

Cadence и Mentor Integration – интерфейс к пакетам Cadence и Mentor Framework;

Filter Designer – синтез пассивных и активных аналоговых фильтров и фильтров на переключаемых конденсаторах (только на платформе DOS).

В ранних версиях Design Center имелось два варианта программы моделирования: относительно простая программа PSpice, предназначенная для моделирования только аналоговых устройств c жесткими ограничениями  максимальных размеров схемы, и более сложная программа PSpice A/D, позволяющая моделировать аналого-цифровые устройства большого размера. В связи с тем что не всем пользователям нужны полные возможности PSpice и PSpice A/D, в версию Design Center 6.2 включены два упрощенных, так называемых базовых варианта PSpice Basics и PSpice A/D Basics+. В табл. 1.1 приведены характеристики всех вариантов программы моделирования PSpice.

Таблица 1.1

Характеристика          

PSpice

PSpice Basics

PSpice A/D

PSpice A/D Basics+

Преимущества интеграции со Schematics

Графический ввод схем

Да

Да

Да

Да

Моделирование в диалоговом режиме

Да

Да

Да

Да

Передача данных в Probe

Да

Да

Да

Да

Интерфейс с модулем разработки печатных плат

Да

Да

Да

Да

Возможности моделирования

Расчет режимов DC, AC, Transient

Да

Да

Да

Да

Расчет шумов, спектров, вариация температуры

Да

Да

Да

Да

Статистический анализ по методу Монте-Карло и расчет наихудшего случая

Да

Нет

Да

Нет

Применение функциональных  зависимых источников

Да

Да

Да

Да

Учет задержек распространения

Не предусмотрено

Да

Нет

Интерфейс с StmEd

Да

Нет

Да

Нет

Наличие программы Parts

Да

Нет

Да

Нет

Целевые функции в Probe

Да

 Нет

Да

Нет

Математические модели  компонентов

Идеальные и неидеальные линии передачи

Все

Идеальные

Все

Идеальные

Связанные линии передачи

Да

Нет

Да

Нет

Нелинейные магнитные сердечники

Да

Нет

Да

Нет

Библиотека аналоговых компонентов

8300

5500


8300

5500


Модели цифровых примитивов

Не предусмотрено

Все

Большинство

Библиотека цифровых компонентов

Не предусмотрено

1600

1600

Дополнительные модули

PCBoards и Autorouter

Да

Да

Да

Да

PSpice Optimizer

Да

Нет

Да

Нет

Polaris

Да

Нет

Да

Нет

PLSyn

-

-

Да

Нет

Device Equations

Да

Нет

Да

Нет

Лицензия для работы в сети

Да

Нет

Да

Нет

Разное

Неограниченный размер схем

Да

Нет


Да

Да

<


 

В базовые варианты включены все библиотеки моделей, за исключением библиотек европейских и японских фирм, библиотек мощных МОП-транзисторов, тиристоров, магнитных сердечников и линий передачи.

Неограниченность размера схемы означает, что максимальный размер схемы определяется исключительно объемом памяти ПК (примерно 1 Мб на каждые 100 транзисторов или 4000 вентилей). В программе PSpice Basics допускается не более 100 транзисторов и/или функциональных управляемых источника и 500 других компонентов.

 

К пакету Design Center прилагаются библиотеки примерно 35 тыс. графических обозначений символов  и около 8,3 тыс. математических моделей компонентов (диодов, стабилитронов, тиристоров, биполярных и полевых транзисторов, оптопар, операционных усилителей, компараторов напряжения, стабилизаторов напряжения, кварцевых резонаторов, магнитных сердечников, цифровых и аналого-цифровых ИС) производства фирм США, Западной Европы и Японии.  В данной книге рассказано, как составлять такие библиотеки и для отечественной элементной базы.

Имеется два варианта демонстрационных версий пакета Design Center: один позволяет в режиме мультфильма ознакомиться с его возможностями, а другой, более интересный (Evaluation version), – промоделировать собственные простейшие схемы. В последнем случае ограничение на сложность анализируемой схемы составляют 64 узла и 10 транзисторов, 2 операционных усилителя или 65 цифровых компонентов для программы PSpice. В программе Probe нельзя использовать целевые функции. В программе Parts доступна только модель диода, в программе StmEd – источник синусоидального сигнала. С помощью графического редактора Schematics можно создать чертеж схемы формата А4, состоящей не более чем из 25 символов. В режиме оптимизации варьируется только один параметр при наличии одного ограничения, целевая функция может быть значением произвольной переменной в одной точке. Синтезируются фильтры не более чем третьего порядка.

Design Center поставляется на дискетах или на CD-ROM, к нему прикладывается документация [57–71].


При поставке на CD- ROM имеется электронная документация, копирующая  упомянутые выше книги, кроме [57–58].  Эта документация просматривается с помощью программы FrameViewer. Печать отдельных страниц можно выполнить с помощью FrameViewer, при выводе большого объема целесообразнее воспользоваться Microsoft  Word 6.0, обеспечивающим более компактную печать после незначительного редактирования.

Условные обозначения, принятые при описании  Design Center, приведены в табл. 1.2.

Таблица 1.2

Обозначения

Примеры

Назначение

Прописные буквы

 AC

Ключевые слова, имена параметров и текстовые переменные, которые пользователь должен ввести так, как они указаны, без изменений

Курсив

имя модели

Пользователь заменяет текст, указанный курсивом, на соответствующую информацию

< >

<имя модели>

Угловые скобки выделяют один член предложения (сами скобки не вводятся)

< >*

<значение>*

Один или более указанных объектов

[  ]

[AC]

Необязательный член предложения (сами  скобки не вводятся)

 [  ]*

 [значение]*

Ни одного или несколько указанных объектов



При работе с пакетом Design Center разнообразная информация о схеме и результатах моделирования записывается в отдельных файлах, расширения имени которых приведены в табл. 1.3 (расширения имен файлов, используемых при разработке печатных плат, приведены в гл. 8).

Таблица 1.3

Расширение имени файла

Назначение файла

Команда или программа, создающая файл

.als

Список соответствий номеров выводов компонентов именам подсоединенных к ним цепей

Создается автоматически при выполнении команд Analysis/Simulate или Create Netlist

.bst

Стек контактных площадок

Создается пользователем (с помощью текстового редактора)

.cdf

Файл отчета с описанием компонента

Создается пользователем и используется при составлении перечня компонентов по команде File/Reports

.cir

Текстовые входные файлы задания на моделирование для программы PSpice

Создается автоматически при выполнении команд Analysis/Simulate или Create Netlist или создается пользователем

.cmd

Командные файлы для программ Probe, StmEd и Parts (их имена указываются после ключа /C в командной строке)

Создаются при наличии опции /L в командной строке (требуется их дополнительное редактирование)

.dat

Двоичные файлы результатов моделирования, передаваемых программе Probe

Автоматически создаются в процессе моделирования режимов DC, AC и TRAN (если по команде Analysis/Probe Setup не выбрана опция CSDF)

.eco

Перенос изменений  из печатной платы в принципиальную схему

Создается редактором печатных плат

.ind

Индексные файлы библиотек

Автоматически создается программой моделирования (составляется после внесения в библиотеку изменений)

.lib

Текстовые файлы библиотек моделей, представляющие собой объединение файлов с расширением .mod

При установке системы подключается стандартная библиотека моделей; новые библиотеки создаются по команде Edit/Model или с помощью текстовых редакторов

.log

Файлы протоколов команд программ Probe, Parts и StmEd

Автоматически создаются при  наличии опции /L в командной строке

.mdt

Справочные данные на компоненты

Копия данных, введенных в программу Parts

.mod

Файлы моделей компонентов

Создаются программой Parts

.net

Список соединений схемы

Создается автоматически при выполнении команд Analysis/Simulate или Create Netlist

.opt

Задание на оптимизацию

Создается автоматически после нанесения на схему символа OPTPARAM и дополняется при настройке конфигурации программы оптимизации

.out

Текстовые файлы результатов моделирования

Генерируются в процессе моделирования

.par

Перечень варьируемых параметров для программы оптимизации

Создается автоматически после нанесения на схему символа OPTPARAM

.pcr

Текстовые входные файлы задания на моделирование для программы PSpice с информацией о паразитных элементах печатной платы, добавленные программой Polaris (аналог файла .cir)

Создаются программой Polaris

.pkg

Информация об упаковке компонентов

По команде Package/Export

.plb

Библиотека информации об упаковке конструктивов компонентов

При установке системы подключается стандартная библиотека информации об упаковке; новые данные вводятся пользователем при редактировании символов компонентов

.pdt

Двоичные файлы результатов моделирования c учетом паразитных параметров печатных плат, передаваемые программе Probe (аналог файла .dat)

Создаются программой PSpice при передаче на моделирование файла с расширением .pcr

.pot

Текстовые файлы результатов моделирования c учетом паразитных параметров печатных плат (аналог файла .out)

Создаются программой PSpice

.prb

Файл, состоящий из трех секций: команд управления экраном, макрокоманд и  целевых функций, используемых в программе Probe

Макрокоманды и целевые функции создаются с помощью текстового редактора или по команде Trace/Macro

.sch

Файл принципиальной схемы

Создается по команде File/Save

.slb

Библиотека графических символов компонентов

При установке системы подключается стандартная библиотека символов; новые данные вводятся пользователем по команде File/Edit Library

.stl

Библиотека  входных сигналов

Создается программой StmEd при выполнении команды Edit/Stimulus, если на схеме имеются символы VSTIM, ISTIM или DIGSTIM

.sub

Описание макромоделей

Создается по команде Tools/Create Subcircuit

.sym

Информация о символе компонента, используемая при передаче данных в другие системы

Создается по команде Part/Export редактора символов

.tln

Описания линий передач, добавляемых в схему при анализе паразитных эффектов печатных плат

Программа Polaris

.txt

Текстовые файлы результатов моделирования, передаваемых программе Probe

Автоматически создаются в процессе моделирования режимов DC, AC и TRAN, если по команде Analysis/Probe Setup выбрана опция CSDF

.vpt

Список  меток переменных, графики которых выводятся в программе Probe

По команде Markers

.xrf

Файл перекрестных ссылок

Составляется при упаковке схемы по команде Tools/Annotate и используется при обратной корректировке

 


Основные понятия


Первоначально программа PSpice была предназначена для моделирования чисто аналоговых устройств. В версии PSpice 3.05 появилась возможность логического моделирования цифровых устройств и интерфейса между аналоговыми и цифровыми компонентами. Однако при этом аналоговые и цифровые блоки должны были располагаться последовательно. Сначала, например, моделируется аналоговый блок, результаты расчетов оцифровываются и записываются в файл, который передается в отдельную программу логического моделирования цифрового устройства и наоборот. Начиная с версии 4 программа PSpice обеспечивает принципиально новую возможность моделирования произвольных смешанных аналого-цифровых цепей с обратными связями, которые, в частности, могут состоять только из цифровых устройств и не содержать аналоговых блоков. Обычно смешанные цепи моделируются в режиме .TRAN (расчет переходных процессов), однако другие режимы также доступны. В режиме .DC задержки сигналов в цифровых блоках игнорируются и рассчитываются логические уровни выходов цифровых устройств в стационарном режиме. В режимах .AC, .NOISE, .TF и .SENS цифровые компоненты не участвуют в анализе малосигнальных частотных характеристик цепи, лишь для аналоговых частей аналого-цифровых и цифро-аналоговых интерфейсов составляются линеаризированные схемы замещения их входных и выходных комплексных сопротивлений. Директивы программы PSpice описаны в разд. 3.3, здесь же обсудим специфику моделирования цифро-аналоговых устройств.

Реальные цифровые ИС в программе PSpice представлены в виде примитивов Uxxx, отражающих их функционирование на логическом уровне, и двух аналого-цифровых и цифро-аналоговых интерфейсов А/Ц и Ц/А, отображающих их входные и выходные каскады (рис. 6.1). В задании на моделирование указываются только примитивы цифровых устройств Uxxx. Если при этом цифровые ИС соединяются непосредственно друг с другом, то блоки интерфейсов во внимание не принимаются. Если же ко входу или выходу ИС подключен аналоговый компонент, то необходимо включить соответствующий интерфейс.
В принципе они могут быть вручную включены в описание задания на моделирование (в файле .cir) как отдельные компоненты, однако программа PSpice делает это автоматически.





Рис. 6.1. Модель цифровой ИС
Итак, смешанные аналого-цифровые цепи состоят из компонентов трех типов: 1) аналоговые компоненты; 2) устройства сопряжения аналоговых и цифровых компонентов (устройства интерфейса); 3) цифровые компоненты (примитивы).

Соответственно различают три типа узлов: 1) аналоговые узлы, к которым подключены только аналоговые устройства, 2) цифровые узлы, к которым подключены только цифровые устройства, 3) узлы интерфейса, к которым подключена комбинация аналоговых и цифровых устройств. Программа PSpice автоматически расщепляет каждый узел интерфейса на два узла – чисто аналоговый и чисто цифровой – и включает между ними макромодель аналого-цифрового или цифро-аналогового интерфейса. Кроме того, к моделям интерфейсов автоматически подключается источник питания цифровых схем.

Логические уровни цифровых узлов принимают одно из пяти значений [2]:

1 – высокий уровень;

0 – низкий уровень;

R – положительный фронт (Raise, переход из состояния “0” в “1”);

F – отрицательный фронт (Fall, переход из состояния “1” в “0”);

X – неопределенное состояние (может принимать значение “0”, “1”, промежуточное или нестабильное состояние).

При вычислении логических уровней узлов, к которым подключено несколько цифровых компонентов, принимаются во внимание выходные сопротивления источников сигналов.

Приведем далее описания устройств интерфейса и цифровых компонентов. Примеры библиотек отечественных цифровых и аналого-цифровых ИС приведены в Приложении 5.


Pасчет стандартных характеристик


Расчет режима цепи по постоянному току

(параметров “рабочей точки”). Режим по постоянному току всегда рассчитывается в начале моделирования перед выполнением других видов анализа без указания специальных директив. Результаты расчетов выводятся в текстовый файл .out в виде таблицы узловых потенциалов и списка токов независимых источников. Список малосигнальных параметров линеаризованных схем замещения полупроводниковых приборов и нелинейных управляемых источников выводится в выходной файл результатов при наличии директивы .OP (Bias Point Detail).

Кроме того, анализ по постоянному току выполняется перед расчетом переходных процессов по директиве .TRAN для определения начальных условий (если отсутствует ключевое слово SKIPBP) и перед анализом в частотной области по директиве .AC для линеаризации нелинейных компонентов в окрестности режима по постоянному току.

Обсудим особенности расчета режима по постоянному току. В программе он рассчитывается итерационным методом Ньютона– Рафсона. В отсутствие сходимости рекомендуется по директиве .OPTIONS увеличить максимальное количество итераций ITL1 (по умолчанию ITL1=40) [51]. Для повышения скорости сходимости рекомендуется с помощью директивы .NODESET устанавливать начальные значения узловых потенциалов, как можно более близкие к ожидаемому режиму по постоянному току (при отсутствии этой директивы все узловые потенциалы на начальной итерации полагаются равными нулю). Если решение методом Ньютона– Рафсона не сходится, программа автоматически переходит к методу вариации напряжений источников питания, который ценой увеличения затрат машинного времени обеспечивает сходимость решения в большинстве случаев. Приближенные значения режима по постоянному току с помощью директивы .NODESET обязательно указываются при анализе схем, имеющих несколько устойчивых состояний.

Многовариантный расчет режима по постоянному току (DC Sweep). Расчет режима по постоянному току производится при вариации одного или нескольких источников постоянного напряжения или тока, температуры, параметров моделей компонентов схемы и глобальных параметров по директивам


.DC  [LIN] <имя 1-й переменной> <начальное значение>

+ <конечное значение> <приращение>

+ [<имя 2-й переменной> <начальное значение>

+ <конечное значение> <приращение>]*

.DC   <OCT | DEC> <имя 1-й переменной> <начальное значение>

+ <конечное значение> <количество точек>

+ [<имя 2-й переменной> <начальное значение>

+ <конечное значение> <количество точек>]*

.DC <имя 1-й переменной> LIST <значение>*

+ [<имя 2-й переменной> LIST <значение> *]*

Режим по постоянному току рассчитывается для нескольких значений варьируемых переменных, в качестве которых могут выступать:

–  имена независимых источников напряжения или тока;

–  параметры моделей компонентов (указывается тип компонента, имя модели и в круглых скобках имя варьируемого параметра);

–  температуры (в качестве ее имени указывается ключевое слово TEMP);

–  глобальных параметров (указывается ключевое слово PARAM и вслед за ним имя варьируемого глобального параметра, определенного ранее).

Характер изменения переменных задается ключевыми словами:

LIN –  линейный масштаб (ключевое слово LIN можно не указывать);

DEC, OCT –  логарифмический масштаб декадами или октавами;

LIST –  список значений.

Если указаны спецификации двух варьируемых параметров, то первый параметр изменяется в заданных пределах для каждого значения второго параметра. Такой вложенный цикл удобен, в частности, для построения статических характеристик полупроводниковых приборов.

Максимальное количество итераций при переходе к следующему варианту по умолчанию устанавливается равным достаточно малой величине ITL2=20. Поэтому в схемах, чувствительных к вариациям параметров, могут возникнуть проблемы со сходимостью. В этих случаях рекомендуется по директиве .OPTIONS увеличить значение ITL2.

Приведем примеры:

.DC   VIN  0.5  5.0  0.25



.DC   LIN  VDS  0  10  .5  VGS  0  5  1

.DC   VCE  0V  10V  .25V  IB  0  10MA  1MA

.DC   RES   MODRES(R)  0.75  1.5  0.05

.DC   DEC   NPN  KT315A(BF)  20  100  10

.DC   TEMP   LIST  -50  0  27  60  80

.DC   PARAM   VPOWER  7.5  12  .5

Первый пример задает диапазон изменения напряжения источника VIN от 0,5 до 5,0 В с шагом 0,25 В. Второй и третий примеры демонстрируют вложенные циклы изменений двух источников. В четвертом и пятом примерах варьируются сопротивление резистора R и коэффициент передачи тока BF биполярного транзистора. В шестом варьируется температура, заданная списком значений. В седьмом –  глобальный параметр VPOWER, задающий ЭДС источника питания.

В качестве еще одного примера покажем, как рассчитывают семейство выходных характеристик транзистора (рис. 3.15), используя вложенные циклы:

VС   1   0   DС   10V

VB   2   0   DC   0.5V

Q1   1   2   0   KT315A

.MODEL   KT315A   NPN (IS= ...)

.DC   VC   0V   10V   0.2V   VB   0V   1V   0.05V

.PROBE





Рис. 3.15. Схема измерений выходных характеристик транзистора
Результаты расчета режима цепи по постоянному току выводятся по директивам .PRINT, .PLOT или .PROBE.

После завершения вариации параметров по директиве .DC варьируемые параметры принимают номинальные значения, которые они имели до многовариантного анализа.

Замечание. При выполнении режима .DC при анализе цепей, обладающих регенеративными обратными связями (например, триггера Шмидта), не удается проанализировать точки неустойчивого равновесия. Для этого рекомендуется вместо режима .DC выполнить расчет переходных процессов .TRAN, введя источники медленно нарастающего и спадающего по линейному закону напряжения.

Чувствительность в режиме малого сигнала (Sensitivity). Рассчитывается по директиве

.SENS <выходная переменная>*

Выходные переменные указываются по тому же формату, что и в директиве .PRINT для режима DC. При этом накладывается ограничение: если выходная переменная должна быть током, то допускается только ток через независимые источники напряжения.


После линеаризации цепи в окрестности рабочей точки рассчитывается чувствительность каждой из указанных выходных переменных к изменению параметров всех компонентов и моделей. Поэтому объем результатов расчета чувствительностей может быть огромным. Результаты расчета выводятся в файл .out.

Приведем пример. Если предположить, что цепь состоит из компонентов R1, R2, C1 и т. д., то по директиве

 

.SENS   V(9)   V(4,3)   I(VCC)

будут рассчитаны чувствительности dV(9)/dR1, dV(9)/dR2, dV(9)/dC1, ..., dV(4,3)/dR1 ...

Расчет малосигнальных передаточных функций (Transient Function). В режиме по постоянному току они рассчитываются по директиве

.TF <выходная переменная> <имя источника напряжения или тока>

после линеаризации цепи в окрестности рабочей точки. Выходные переменные имеют тот же формат, что и по директиве .PRINT. Если выходная переменная должна быть током, то это ток через независимый источник напряжения. Результаты расчетов выводятся в выходной файл .out без обращения к директивам .PRINT или .PLOT. Приведем примеры:

.TF   V(5)  VIN

.TF   V(15, 14)   I(VDRIV)

В первом случае рассчитывается передаточная функция dV(5)/dVIN, а во втором –  dV(15,14)/dI(VDRIV).

Кроме того, всегда рассчитываются входные и выходные сопротивления.

Расчет переходных процессов (Transient). Они рассчитываются по директиве

 

.TRAN[/OP] <шаг вывода данных> <конечное время>

+ [<начальный момент времени вывода данных> [<максимальный шаг>]] 

+ [SKIPBP]

Переходные процессы всегда рассчитываются с момента t=0 до момента <конечное время>. Перед началом расчета переходных процессов рассчитывается режим по постоянному току. Шаг интегрирования выбирается автоматически. Результаты вычислений выводятся в виде таблиц или графиков с интервалом времени, задаваемым параметром <шаг вывода данных> (применяется квадратичная интерполяция между дискретными отсчетами). Если задан параметр <начальный момент времени вывода данных>, то вывод результатов расчетов подавляется на интервале времени от t=0 до указанного значения.


Максимальное значение шага интегрирования устанавливается параметром <максимальный шаг>; если он не указан, то максимальный шаг интегрирования устанавливается равным <конечное время>/50.

Режим по постоянному току определяет начальные условия для расчета переходных процессов. Это связано с тем, что значения источников сигналов в момент t=0 могут отличаться от их постоянных составляющих. При этом в выходной файл .out выводятся только значения узловых потенциалов в режиме по постоянному току. Указание в директиве .TRAN суффикса /OP выводит в этот файл полную информацию о режиме по постоянному току (как по директиве .OP).

Если в конце директивы .TRAN указать параметр SKIPBP, то расчет режима по постоянному току отменяется. При этом начальные значения напряжений на емкостях и токов через индуктивности указываются в опциях вида IC= ..., включенных в описания конденсаторов и индуктивностей, а начальные значения узловых потенциалов указываются в директиве .IC.

Замечание. В версиях младше Design Center 6.2 вместо SKIPBP использовалось ключевое слово UIC (Use Initial Conditions).

При использовании директивы .PROBE совместно с директивой .TRAN следует иметь в виду, что программа Probe может вывести график, состоящий не более чем из 8000 отсчетов переменных (при этом отсчеты переменных, указанных в директиве .PROBE, берутся не с шагом вывода данных, а с внутренним шагом интегрирования). Однако программа Probe позволяет последовательно построить графики отдельных секций данных. Кроме того, можно подавить передачу данных программе Probe на начальном участке переходного процесса, указав параметр <начальный момент времени вывода данных>.

При моделировании смешанных аналого-цифровых цепей шаги интегрирования в аналоговых и цифровых устройствах выбираются разными независимо друг от друга. Шаг интегрирования, указываемый для информации на экране программы PSpice, равен шагу интегрирования аналоговой части цепи. Шаг интегрирования цифровой части определяется значениями задержек в цифровых компонентах.


Его минимальное значение определяется параметром DIGFREQ директивы .OPTIONS, оно равно 1/DIGFREQ. По умолчанию DIGFREQ=10 ГГц, но не более 1015/TSTOP. Задержками меньше половины шага интегрирования пренебрегают.

При отсутствии сходимости расчета переходного процесса рекомендуется по директиве .OPTIONS увеличить максимальное количество итераций на одном временном шаге ITL4 (по умолчанию ITL4=10) и при необходимости общее количество итераций ITL5. По умолчанию ITL5=5000, установка ITL5=0 устраняет это ограничение.

Допустимая относительная ошибка расчета токов и напряжений задается опцией RELTOL (по умолчанию
), а абсолютные ошибки токов, зарядов и напряжений –  опциями ABSTOL (по умолчанию
 А), CHGTOL (по умолчанию
 Кулон), VNTOL (по умолчанию
 В). Однако нельзя устанавливать абсолютные ошибки чрезмерно малыми. В частности, при анализе сильноточных или высоковольтных цепей задание абсолютных ошибок по умолчанию может привести к потере точности вычислений из-за ограниченности разрядной сетки ЭВМ.

Приведем примеры:

.TRAN  5ms  500ms

.TRAN/OP  5ms  500ms  100ms  SKIPBP

.TRAN  5ms  500ms  0ms  0.5ms

Рассмотрим в качестве более конкретного примера особенности расчета переходных процессов в транзисторном мультивибраторе (рис. 3.16). В начале рассчитывается режим мультивибратора по постоянному току в соответствии со следующим заданием:

Multivibrator

R1  1  2  1k

R2  5  0  750

R3  1  3  100k

R4  1  4  100k

R5  1  6  1k

R6  7  0  750

C1  2  3  0.01uF

C2  4  6  0.01uF

Q1  2  4  5  KT312A

Q2  6  3  7  KT312A

VP  1  0  DC  10V

.LIB  QRUS.LIB

.PROBE

.END





Рис. 3.16.  Схема мультивибратора
В результате расчета в выходном файле c расширением .out создается таблица узловых потенциалов

V(1)=10,0000 B,  V(2)=6,3538 B,  V(3)=3,4889 B,  V(4)=3,4889 B,

V(5)=2,7835 B,  V(6)=6,3538 B,  V(7)=2,7835 B.

Если после расчета режима по постоянному току непосредственно перейти к расчету переходных процессов, то мультивибратор, находящийся в одном из состояний равновесия, не возбудится.


Предложим два способа возбуждения математической модели мультивибратора.

1. Перед началом переходного процесса с помощью директивы .IC задаются начальные значения узловых потенциалов и (или) с помощью параметра IC= задаются начальные значения напряжений на конденсаторах, отличные от значений в состоянии равновесия. В схеме на рис. 3.16 достаточно изменить потенциал узла 3 на 1% с помощью директивы

.IC V(3)=3.46v

и указать директиву расчета переходных процессов

.TRAN  100us  1.2ms

Заметим, что если с помощью директивы .IC и параметров IC в описаниях конденсаторов и индуктивностей полностью описан режим схемы по постоянному току, то перед расчетом переходного процесса этот режим рассчитывать не нужно –  этот расчет отменяется с помощью параметра SKIPBP в директиве .TRAN:

.TRAN  100us  1.2ms  SKIPBP

Кроме того, для получения текущей информации о поведении мультивибратора полезно указать директиву

.WATCH  TRAN  V(2)  V(3)  V(6)

Если автоколебания не возникли, то все узловые потенциалы будут сохранять постоянное значение, что будет видно на экране программы PSpice и позволит прервать моделирование.

2. Имитируем включение напряжения питания, вводя источник напряжения в виде импульсной функции c линейным передним фронтом и длительностью, равной конечному времени анализа (тогда ее можно явно не указывать)

VP  1  0  PULSE (0v, 10v, 50us)

Кроме того, для обеспечения самовозбуждения мультивибратора необходимо нарушить симметрию схемы, изменив, например, одно из сопротивлений на 1%

R1  1  2  1.01k

Спектральный анализ (Transient/Fourier Analysis). Он проводится по директиве

.FOUR <частота первой гармоники f
> [количество гармоник]

+ <выходная переменная>*

Спектральный анализ производится с помощью быстрого преобразования Фурье (БПФ) после завершения расчета переходного процесса (в задании должна иметься директива .TRAN). Имена переменных, спектр которых должен быть рассчитан, указываются в списке <выходная переменная>.


В директиве . FOUR задается частота первой гармоники f1 и количество гармоник. Максимальное количество гармоник n=100 (в версиях до Design Center 5.2 –  10 гармоник). По умолчанию рассчитываются первые 9 гармоник. В программе рассчитываются амплитуды постоянной составляющей A
 и остальных n гармоник A
, A
,..., A
. Спектральному анализу подвергается участок реализации переходного процесса длительностью T = 1/f
  в конце интервала анализа (чтобы завершились переходные процессы). Результаты спектрального анализа выводятся в выходной файл .out в виде таблиц без указания директив .PRINT, .PLOT или .PROBE. Кроме того, рассчитывается коэффициент нелинейных искажений (в процентах) по формуле

K =
.

Приведем пример:

.FOUR  10KHZ  15  V(5)  V(6,7)  I(VSENS3)

Спецификация выходных переменных составляется по тем же правилам, что в директивах .PRINT или .PLOT.

Заметим, что спектральный анализ производится также при обработке данных в графическом постпроцессоре Probe (см. разд. 7.2). Отличие состоит в том, что при этом спектральному анализу подвергается целиком весь график, изображенный на экране дисплея, или его часть.

Расчет характеристик в частотной области (AC Sweep). Они рассчитываются по директиве

.AC  [ LIN ] [ OCT ]  [ DEC ] <n> <начальная частота> <конечная частота>

Эта директива задает диапазон частот в пределах <начальная частота> ... <конечная частота>. Параметр LIN устанавливает линейный шаг по частоте, при этом n – общее количество точек по частоте. Параметры OCT и DEC устанавливают логарифмический характер изменения частоты октавами и декадами соответственно. Параметр n определяет в таком случае количество точек по частоте на одной октаве или декаде. Расчет характеристик в частотной области производится после определения режима по постоянному току и линеаризации нелинейных компонентов (это делается автоматически, никаких дополнительных директив не требуется). Амплитуды и фазы одного или нескольких гармонических сигналов указываются при описании параметров независимых источников напряжения V или тока I.


Результаты расчета комплексных амплитуд узловых напряжений и токов ветвей выводятся по директивам .PRINT, .PLOT или .PROBE.

Анализ уровня внутреннего шума (AC Sweep/Noise Analysis). Анализ производится по директиве

.NOISE  V(<узел>[,<узел>]) <имя> <n>

Директива .NOISE указывается совместно с директивой .AC, в которой задается диапазон частот анализа. Источниками шума служат резисторы, ключи и полупроводниковые приборы, шумовые схемы замещения которых приведены в гл. 4. На каждой частоте f рассчитывается спектральная плотность выходного напряжения
, В
/Гц, обусловленная наличием статистически независимых источников внутреннего шума. Точки съема выходного напряжения указываются по спецификации V(<узел>[,<узел>]). К входным зажимам цепи подключается независимый источник напряжения или тока, <имя> которого приводится в списке параметров директивы .NOISE. Этот источник не является источником реального сигнала, он служит лишь для обозначения входных зажимов цепи, к которым пересчитывается выходной шум. Если ко входу подключается источник напряжения, то на входе рассчитывается эквивалентная спектральная плотность напряжения шума
, В
/Гц; если ко входу подключен источник тока, то рассчитывается эквивалентная спектральная плотность тока 
, А
/Гц. Уровень шума пересчитывается с выхода на вход делением спектральной плотности выходного напряжения
 на квадрат модуля соответствующей передаточной функции. Заметим, что внутреннее сопротивление реального генератора сигнала R
 должно быть включено в описание цепи как отдельный резистор.

Если указан целочисленный параметр <n>, то на каждой n-й частоте в диапазоне анализа будет рассчитываться не только спектральная плотность суммарного шума, но и вклад в нее каждого шумового источника. Если параметр <n> не указан, то этот расчет не производится.

Приведем примеры

.NOISE  V(5)  VIN

.NOISE  V(101)  VSRC  20

.NOISE  V(4, 5)  ISRC



Результаты расчета уровней шума выводятся в выходной файл .out по директиве . PRINT или .PLOT

.PRINT  NOISE  <выходная переменная>*

.PLOT   NOISE  <выходная переменная>*

В качестве выходных переменных используются следующие имена:

INOISE, DB(INOISE) –
 в относительных единицах и децибелах;

ONOISE, DB(ONOISE) –
 в относительных единицах и децибелах.

Например

.PRINT  NOISE  INOISE  ONOISE  DB(INOISE)

.PRINT  NOISE  INOISE  ONOISE

.PRINT  NOISE  ONOISE  DB(ONOISE)

Графики спектральных плотностей можно построить с помощью программы Probe при наличии директивы .PROBE. Причем при наличии в этой директиве списка переменных имена переменных INOISE и ONOISE указывать не надо – они передаются в файл результатов .dat   всегда.

По результатам расчета спектральной плотности внутреннего шума легко вычисляется дифференциальный коэффициент шума линейного четырехполюсника, изображенного на рис. 3.17, а. Как известно [13], дифференциальный коэффициент шума равен

,

где
 – спектральная плотность напряжения шума, обусловленная шумом выходного сопротивления генератора R
 и внутренним шумом четырехполюсника, пересчитанная на его вход,
=INOISE
 – спектральная плотность напряжения шума выходного сопротивления генератора;
 Дж/
 – постоянная Больцмана; 
 – номинальная абсолютная температура.





Рис. 3.17. Измерение коэффициента шума четырехполюсника при подключении ко входу источника напряжения (а) или тока (б)
Формула для расчета дифференциального коэффициента шума приобретает, таким образом, вид



где сопротивление
 указывается в омах. Приведем фрагмент задания на расчет коэффициента шума четырехполюсника, изображенного на рис. 3.17, а:

.TEMP  80

VG  1  0  AC  1

RG  1  2  75

RLOAD  3  4  500

CLOAD  3  4  30pF

{описание четырехполюсника}

.AC  LIN  21  0  1000HZ

.NOISE  V(3,4)  VG

.PRINT  INOISE  ONOISE

Аналогично измеряется дифференциальный коэффициент шума при подключении на вход четырехполюсника источника тока (рис. 3.17, б):





Расчет среднеквадратического отклонения

выходного напряжения шума производится по формуле



с помощью функции интегрирования s(x) программы Probe (разд. 7.2)

SQRT(S(V(ONOISE)*V(ONOISE)))

Среднеквадратическое отклонение шума
  равно значению этой функции на верхней границе диапазона частот.

При измерении коэффициента шума сопротивлению генератора
 должна быть приписана номинальная температура
, четырехполюснику – его физическая температура, а сопротивлению нагрузки
 – температура абсолютного нуля, так как его шумы обычно учитываются при расчете коэффициента шума последующего каскада. Назначение резисторам индивидуальных значений температуры производится с помощью параметра T_ABS.

В некоторых задачах могут потребоваться независимые источники шума. Они могут быть представлены в виде зависимых источников, управляемых током шумящего резистора (в связи с особенностями программы PSpice допускается управлять током источника ЭДС, включенного последовательно с шумящим резистором).

На рис. 3.18, а представлена модель независимого источника шумового напряжения. Сопротивление шумящего резистора этого источника связано с требуемой спектральной плотностью напряжения шума
 соотношением
 Например, при
 B
/Гц описание модели на рис. 3.18, а имеет вид

RN  1  0  60.4

VN  1  0  DC  0

HN  2  3  VN  1





Рис. 3.18. Независимый источник напряжения (а) и тока (б) широкополосного шума
Модель независимого источника шумового тока представлена на рис. 3.18, б. Для нее сопротивление шумящего резистора связано со спектральной плотностью шумового тока
 соотношением RN=4kT/S
. Например, при
 A
/Гц модель описывается следующим образом:

RN  1  0  16.56K

VN  1  0  DC  0

FN  2  3  VN  1


Пассивные компоненты


Резисторы описываются предложением

Rxxx  <+узел>  <–узел>  [ имя модели ] <значение>  [ TC=<TC1>[,<TC2> ] ]

Здесь xxx – произвольная алфавитно-цифровая последовательность общей длиной не более 7 символов, которая пишется слитно с символом R и вместе с ним образует имя компонента. Например:

R1  15  0  2K

RGEN  1  2  2.4E4

R12  3  0  RTEMP  5K

.MODEL  RTEMP  RES (R=3 DEV=5% TC1=0.01)

Параметры, описывающие модель резистора, приведены в табл. 3.7.

Таблица 3.7

Обозначение

Параметр

Размерность

Значение по умолчанию

R

Масштабный множитель сопротивления

1

TC1

Линейный температурный коэффициент сопротивления

C

0

TC2

Квадратичный температурный коэффициент сопротивления

C

0

TCE

Экспоненциальный температурный коэффициент сопротивления

%/
C

0

T_MEASURED

Температура измерений

C

T_ABS

Абсолютная температура

C

T_REL_GLOBAL

Относительная температура

C

T_REL_LOCAL

Разность между температурой устройства и модели-прототипа

C

Если в описании резистора <имя модели> опущено, то его сопротивление равно параметру <сопротивление> в омах. Если <имя модели> указано и в директиве .MODEL отсутствует параметр TCE, то сопротивление резистора определяется выражением

<значение>

R [1 + TC1(T–Tnom) + TC2 (T–Tnom)
];

если же параметр TCE указан, то сопротивление равно

<значение>

R
1, 01
.

Здесь T – текущее значение температуры (указывается по директиве .TEMP); Tnom=27

C – номинальная температура (указывается по директиве .OPTIONS).

Параметр <значение> может быть как положительным, так и отрицательным, но не равным нулю.

Спектральная плотность теплового тока резистора рассчитывается по формуле Найквиста S

(f)=4kT/<сопротивление>. Для резисторов с отрицательным сопротивлением в этой формуле берется абсолютное значение сопротивления.


Рис. 3.1. Типовые двухполюсники: а - резистор; б

- источник тока; в - источник напряжения
Направление падения напряжения на резисторе (как и на произвольном двухполюсном компоненте) и тока через него указаны на рис. 3.1.

Конденсатор описывается предложением

 

Cxxx <+узел> <–узел> [имя модели] <значение>

+ [IC=<начальное значение напряжения>]

Например:

C1  15  0  56PF

C2  3    9  0.5PF IC=1.5V

C3  4    6  CMOD 10U

.MODEL  CMOD  CAP (C=2.5 TC1=0.01 VC1=0.2)

Параметры модели конденсатора приведены в табл. 3.8.

Таблица 3.8

Обозначение

Параметр

Размерность

Значение по умолчанию

С

Масштабный множитель емкости

 

1

VC1

Линейный коэффициент напряжения

В


VC2

Квадратичный коэффициент напряжения

В


TC1

Линейный температурный коэффициент емкости

C


0

TC2

Квадратичный температурный коэффициент емкости

C


0

T_MEASURED

Температура измерений

C

T_ABS

Абсолютная температура

C

T_REL_GLOBAL

Относительная температура

C

T_REL_LOCAL

Разность между температурой устройства и модели-прототипа

C

Если в описании конденсатора <имя модели> опущено, то его емкость равна параметру <значение> в фарадах, в противном случае она определяется выражением

<значение>
C (1+VC
V+VC2
V
) [1+TC1 (T–Tnom)+TC2 (T–Tnom)
].

Здесь V – напряжение на конденсаторе при расчете переходных процессов (режим TRAN). При расчете частотных характеристик (режим AC) емкость считается постоянной величиной, определяемой в рабочей точке по постоянному току.

После ключевого слова IC указывается значение напряжения на конденсаторе при расчете режима по постоянному току, которое при расчете переходных процессов служит начальным значением этого напряжения.

Индуктивность описывается пpедложением

Lxxx  <+узел> <–узел> [имя модели] <значение> 



+   [IC = <начальное значение тока>]

Например:

L1  15 0 20MH

L2    1   2  0.2E-6

L3    4   6  2VH IC=2

LOAD  5  12  LMOD 0.03

.MODEL  LMOD IND (L=2 DEV=20% IL1=0.1)

Параметры модели индуктивности приведены в табл. 3.9.

Таблица 3.9

Обозначение

Параметр

Размерность

Значение по умолчанию

L

Масштабный множитель индуктивности

1

IC1

Линейный коэффициент тока

А


IC2

Квадратичный коэффициент тока

А


TC1

Линейный температурный коэффициент индуктивности

C


0

TC2

Квадратичный температурный коэффициент индуктивности

C


0

T_MEASURED

Температура измерений

C

T_ABS

Абсолютная температура

C

T_REL_GLOBAL

Относительная температура

C

T_REL_LOCAL

Разность между температурой устройства и модели-прототипа

C

Если в описании опущено <имя модели>, то индуктивность равна параметру <значение> в генри, в противном случае она определяется выражением

<значение>
L (1+IL1
I+IL2
I
) [1+TC1 (T–Tnom)+TC2 (T–Tnom)
].

Здесь I – ток через катушку индуктивности при расчете переходных процессов (режим TRAN). При расчете частотных характеристик (режим AC) индуктивность считается постоянной величиной, определяемой в рабочей точке по постоянному току.

После ключевого слова IC указывается значение тока через катушку индуктивностей при расчете режима по постоянному току, которое при расчете переходных процессов служит начальным значением этого тока.

Взаимная индуктивность

описывается предложением

Kxxx   Lyyy   Lzzz  ...  <коэффициент связи>

Первое предложение описывает связанные индуктивности без сердечника, второе – с ферромагнитным сердечником, третье – связанные линии передачи.

Порядок перечисления имен индуктивностей Lyyy, Lzzz ...  безразличен, знак взаимной индуктивности определяется порядком перечисления узлов в описании каждой индуктивности.


Параметром взаимной индуктивности является <коэффициент связи>. Если в трансформаторе имеется несколько обмоток, то можно либо определить взаимные индуктивности для каждой попарной комбинации обмоток в отдельных предложениях, либо в одном предложении указать список всех индуктивностей, имеющих одинаковый коэффициент связи. Например, трехобмоточный высокочастотный трансформатор (рис. 3.2) описывается следующим образом:

I1   1  0  AC  1MA

L1  1  0  10UH

L2  2  3  10UH

L3  3  4  10UH

K12  L1  L2  L3 0.8



Рис. 3.2. Трехобмоточный трансформатор
Здесь I1 – источник тока, комплексная амплитуда которого в режиме AC имеет значение 1 мА. Первый узел в описаниях индуктивностей L1, L2, L3 обозначает начало обмотки.

Коэффициент связи двух обмоток определяется выражением

коэффициент связи =
,

где L
, L
 – индуктивности обмоток, M
 – их взаимная индуктивность. Напряжение на катушке L
 с учетом взаимной индукции определяется выражением



Магнитный сердечник трансформатора описывается предложением

Kxxx  Lyyy  Lzzz ... <коэффициент связи> <имя модели>

+ [<масштабный коэффициент>]

На одном сердечнике помещается одна или несколько обмоток с именами Lyyy, Lzzz ... . Все обмотки имеют  одинаковый <коэффициент связи>. При описании каждой обмотки Lyyy ..., упомянутой в составе сердечника, изменяется смысл параметра <значение> – теперь он определяет не индуктивность, а число витков обмотки сердечника. Например, трансформатор с пермаллоевым сердечником МП60 (рис. 3.3) описывается предложениями:

L1   15  10  50;               50 витков

L2   10    0  150;             150 витков

K2   L1   L2   0.99   Ti125V



Рис. 3.3. Трансформатор с магнитным сердечником
.MODEL   Ti125V   CORE ( LEVEL=2  MS=334E3  ALPHA=2.5E-2

+   A=4.05E3  K=166  C=0.05  AREA=0.064  PATH=2.25)

Параметр <масштабный коэффициент> изменяет площадь поперечного сечения магнитопровода (по умолчанию равен единице).


Она равна произведению этого коэффициента на параметр модели сердечника AREA.

Параметры модели магнитного сердечника приведены в разд. 4.6. График кривой намагниченности сердечника выводится на экран с помощью директивы .PROBE (разд. 3.3.5); с помощью директив .PRINT и .PLOT эти данные не выводятся.

Линия передачи описывается двояко. Линия передачи без потерь

описывается предложением

Txxx <+узел порта A> <–узел порта A> <+узел порта B> <–узел порта B> 

+  [имя модели]  ZO=<значение> [TD=<значение>]

+  [F = <значение>  [NL = <значение>]]

+  IC=<напряжение на входе А> <ток входа A>

+  <напряжение на входе B> <ток входа B>

Здесь ZO – волновое сопротивление линии (Ом), TD – задержка сигнала в линии (с), NL – электрическая длина линии на частоте F (NL=l/l, где l – геометрическая длина линии, l – длина волны в линии, по умолчанию NL=0,25). При описании линии передачи задается параметр TD либо F и NL. Например,

T1 1 2 3 4 ZO = 50

T2 1 2 3 4 ZO = 75 TD = 115NS

T3 1 2 3 4 ZO = 75 F = 4.5MEG

T4 1 2 3 4 ZO = 75 F = 4.5MEG NL = 0.5

С помощью ключевого слова IC задаются начальные значения напряжений и токов на выводах линии передачи.







Рис. 3.4. Модель линии передачи без потерь (а) и с учетом потерь (б)
Схема замещения линии передачи без потерь при расчете переходных процессов или режима по постоянному току изображена на рис. 3.4, а. При расчете частотных характеристик эта линия представляет  собой безынерционное звено.

Линия передач с потерями

описывается предложением

Txxx  <+узел порта A> <–узел порта A> <+узел порта B>  <–узел порта B>

+ [<имя модели> [электрическая длина ] ]   LEN=<значение>

+  R=<значение>  L=<значение>  G=<значение>   C=<значение>

Здесь LEN – длина линии (м); R, L, G, C – погонное сопротивление линии (Ом/м), погонная индуктивность (Гн/м), проводимость (См/м), емкость (Ф/м). 



В принципе длину линии можно указывать в любых единицах, например в километрах, но тогда нужно соответствующим образом пересчитать значения погонных параметров R, L, G и C.

По этим данным аналитически рассчитывается  комплексный коэффициент передачи линии. Анализ переходных процессов производится с помощью интеграла свертки с импульсной характеристикой линии, которая вычисляется как преобразование Фурье коэффициента передачи.

Модель линии передачи описывается предложением (начиная с версии Design Center 6.1):

.MODEL  <имя модели>  TRN (параметры модели)

Модель линии передачи характеризуется параметрами, указанными в табл. 3.10.

Таблица 3.10

Обозначение

Параметр

Размерность

Значение по умолчанию

Идеальная линия без потерь

ZO

Волновое сопротивление

Ом

TD

Время задержки сигнала

с

F

Частота для расчета NL

Гц

NL

Электрическая длина на частоте F

0,25

IC

Начальные значения напряжений и токов

Линия с потерями

R

Погонное сопротивление

Ом/м

L

Погонная индуктивность

Гн/м

G

Погонная проводимость

См/м

C

Погонная емкость

Ф/м

LEN

Электрическая длина

м

Замечания. 1. Линии передачи с потерями не рекомендуется моделировать в версии PSpice на платформе DOS 640 K, так как для этого обычно требуется расширенная память.

2. Принятая в программе PSpice 6.2 модель линии передачи с потерями требует больших затрат времени и не обеспечивает достаточную точность расчетов. Поэтому иногда приходится самостоятельно составлять цепочечные схемы замещения линий передачи с потерями (рис. 3.4, б).

3. В представленных моделях, к сожалению, не учитываются частотные зависимости погонных параметров R, L и G, существенные при моделировании реальных протяженных линий передачи. Это можно сделать, начиная с версии PSpice 5.3,  задавая преобразования Лапласа параметров R(s) и G(s) – имитация частотной зависимости поверхностного эффекта и потерь в диэлектрике.

Связанные линии передачи описываются предложением

Kxxx   T<имя 1-й линии передачи>  T<имя 2-й линии передачи>

+  Cm=<взаимная емкость>  Lm=<взаимная индуктивность>

Здесь Cm и Lm – взаимные емкости и индуктивности связанных линий [75, 80].


Полевой транзистор


Полевые транзисторы с управляющим p–n переходом (Junction FET) описываются моделью Шихмана–Ходжеса в соответствии с эквивалентной схемой, представленной на рис. 4.6,а для транзистора с каналом n-типа [4, 7, 33]. Параметры модели полевого транзистора приведены в табл. 4.3.

Таблица 4.3

 Имя параметра

Параметр

Значение по умолчанию

Единица измерения

VT0

Пороговое напряжение

–2

В

BETA

Коэффициент пропорциональности

10

А/В

LAMBDA

Параметр модуляции длины канала

0

1/В

IS

Ток насыщения p–n-перехода затвор–канал

10
 

А

N

Коэффициент неидеальности p–n-перехода затвор–канал

1

ISR

Параметр тока рекомбинации p–n- перехода затвор–канал

0

А

NR

Коэффициент эмиссии для тока ISR

2

 

ALPHA

Коэффициент ионизации

0

В

VK

Напряжение ионизации для перехода затвор–канал

0

В

RD

Объемное сопротивление области стока   

0

Ом

RS

Объемное сопротивление области истока  

0

Ом

CGD

Емкость перехода затвор–сток при нулевом смещении  

0

Ф

CGS

Емкость перехода затвор-исток при нулевом смещении 

0

Ф

M

Коэффициент лавинного умножения обедненного   p–n-перехода затвор–канал

0,5

FC

Коэффициент нелинейности емкостей переходов при прямом смещении

0,5

PB

Контактная разность потенциалов p–n-перехода затвора

1

В

VTOTC

Температурный коэффициент VTO

0

В/
 

BETATCE

Температурный коэффициент BETA

0

%/

XTI

Температурный коэффициент тока IS

3

 

KF

Коэффициент, определяющий спектральную плотность фликкер–шума

0

AF

Показатель степени, определяющий зависимость спектральной плотности фликкер–шума от тока через переход

1

T_MEASURED

Температура измерений

T_ABS

Абсолютная температура

T_REL_GLOBAL

Относительная температура

T_REL_LOCAL

Разность между температурой транзистора и модели-прототипа

<
Статические характеристики полевого транзистора. Они описываются следующими зависимостями. 

Ток затвора равен

Ig = Igs + Igd,

где Igs = In+IrKg

– ток утечки затвор–исток,

    Ins = IS·[exp(Vgs/(N·Vt) –1] – нормальный ток,

    Irg = ISR· [exp(Vgs/(NR·Vt) –1] – ток рекомбинации,

    Kgs = [(1–Vsg/PB)2+0,005]M/2 – фактор генерации,

Igd = Ind+Ird·Kgd+Ii  – ток утечки затвор–сток,

    Ind = IS· [exp(Vgd/(N·Vt) –1] – нормальный ток,

    Ird = ISR· [exp(Vgd/(NR·Vt)-1] – ток рекомбинации,

    Kgd = [(1–Vgd/PB)2+0,005]M/2 – фактор генерации,

    Ii - ток ионизации, равный





Рис. 4.6. Нелинейная (а) и линейная (б) схемы замещения полевого транзистора с управляющим p–n-переходом и каналом n-типа
Vdif=Vds– (Vgs–VTO); Vgs – напряжение затвор–исток, Vgd – напряжение затвор-сток.

Заметим, что полевой транзистор обедненного типа характеризуется отрицательными значениями VTO<0 (для каналов p- и n-типа), а транзистор обогащенного типа – положительными VTO
0.

Токи стока и истока равны соответственно

Id = Idrain – Igd,  Is = – Idrain

–Igs.

В нормальном режиме (Vds
0) ток Idrain

рассчитывается по формулам:



где Vds – напряжение сток–исток, Vgd – напряжение затвор–сток. В инверсном режиме (Vds<0)



Емкости затвор–исток и затвор–сток  описываются выражениями





 

Линейная схема замещения полевого транзистора. Схема приведена на рис. 4.6, б, где дополнительно включены источники флюктуационных токов. Тепловые шумы, создаваемые резисторами RS и RD, имеют спектральные плотности S
= 4kT/RS, S
= 4kT/RD.

Источник тока Iш
, характеризующий дробовой и фликкер-шум, имеет спектральную плотность S
= 8kT·Gm/3 + KF·Id
/f, где Gm= dIdrain/dVgs  –дифференциальная проводимость в рабочей точке по постоянному току.

Температурные эффекты  характеризуются следующими зависимостями:

VTO(T)=VTO+VTOTC· (T – Tnom);

BETA(T)=BETA·1,01
;



IS(T)=IS·exp[EG(Tnom)/(N·Vt) ·(T/Tnom – 1)](T/Tnom)
;

ISR(T)=ISR·exp[EG(Tnom)/(NR·Vt) ·(T/Tnom–1)](T/Tnom)
;

PB(T)=PB·T/Tnom-3Vt·ln(T/Tnom) –EG(Tnom)·T/Tnom+EG(T);

CGS(T)=CGS{1+M[0,0004(T–Tnom)+1-PB(T)/PB]};

CGD(T)=CGD{1+M[0,0004 (T–Tnom)+1-PB(T)/PB]};

KF(T)=KF·PB(T)/PB, AF(T)=AF·PB(T)/PB.

Зависимость EG от температуры описана в разд. 4.1.

Скалярный коэффициент Area  позволяет учесть параллельное соединение однотипных транзисторов, для чего в приведенной выше модели изменяются следующие параметры:

IS=IS·Area, BETA=BETA·Area, RD=RD/Area, RS=RS/Area, CGS=CGS·Area, CGD=CGD·Area.

Значение Area указывается в задании на моделирование при включении транзистора в схему (п. 3.2.6), по умолчанию Area=1.

В качестве примера приведем описание параметров модели транзистора КП303Е

.model  KP303E  NJF (VTO=-4.12  BETA=782.5u  LAMBDA=9.13m

+   RS=21  RD=21  CGS=4.2pF  CGD=3.8pF  FC=0.5  PB=1  IS=10f)


с библиотеками условных графических изображений


БИБЛИОТЕКИ СТАНДАРТНЫХ СИМВОЛОВ КОМПОНЕНТОВ
Пакет программ Design Center 6.2 поставляется вместе с библиотеками условных графических изображений компонентов и их математическими моделями.  Приведем  сокращенный список библиотек математических моделей:
7400.lib,..., 74s.lib, dig_pal.lib – цифровые устройства;
bipolar.lib – биполярные транзисторы;
diode.lib – диоды;
jfet.lib – полевые (JFET) транзисторы;
linear.lib – линейные ИС (операционные усилители, ОУ и компараторы напряжения);
magnetic.lib  – ферромагнитные сердечники трансформаторов;
misc.lib – разнообразные компоненты;
opto.lib  – оптоэлектронные пары;
pwrbjt.lib   – мощные биполярные транзисторы (BJT);
pwrmos.lib  – мощные МОП-транзисторы  (MOSFET);
xtal.lib  – кварцевые резонаторы;
thyristr.lib   – тиристоры.
Кроме того, имеются библиотеки компонентов, выпускаемых отдельными фирмами, например:
anlg_dev.lib  – операционные усилители и др. ИС фирмы  Analog Devices/Precision Monolithics;
burr_brn.lib    – операционные усилители фирмы Burr-Brown;
harris.lib – операционные усилители фирм Harris, Analog Division;
lin_tech.lib  – операционные усилители фирмы Linear Technology;
tex_inst.lib   – операционные усилители фирмы Texas Instruments.
Каждой библиотеке математических компонентов, имеющих расширение имени .lib, соответствует библиотека их символов, имеющая расширение .slb. Помимо этого имеются следующие библиотеки стандартных  символов:
amb. slb – управляемые источники напряжения и тока типов E и G (функциональные  блоки);
analog.slb – дискретные аналоговые компоненты (R, C, L, E, F, G, H, T, переменный резистор, магнитные сердечники);
breakout.slb – полупроводниковые приборы, потенциометр, ЦАП, АЦП, ОЗУ, ПЗУ;
connect.slb – контакты, разъемы;
marker.slb – маркеры, помечающие узлы схемы и ветви для вывода их потенциалов и токов на экране программы Probe по результатам моделирования;
port.slb – “земля”, “корпус”, соединители цепей, цепи логическая “1”, логический “0”, неподсоединенный вывод цифрового компонента, соединители страниц, порты интерфейса;
source.slb – источники аналоговых и цифровых сигналов;
special.slb – директивы задания на моделирование.
Приведем каталог этих  библиотек.

Пример моделирования


В качестве примера моделирования смешанных аналого-цифровых цепей с обратной связью выберем импульсный фазовый детектор (ФД) [8] (рис. 6.19). В его состав входят два RS-триггера X1, X2 типа 133ТМ2, на входы синхронизации которых подключены два источника импульсных напряжений V1, V2 (на входах D и S постоянно установлен уровень “1”). Выходные сигналы триггеров управляют транзисторными ключами, заряжающими емкости С10, С12, входящие в состав сглаживающего фильтра импульсной фазовой автоподстройки (ИФАП). При подаче отпирающего импульса на вход ключа на транзисторах Q1, Q3 потенциал выходного узла 13 увеличивается, а при отпирании другого ключа на транзисторе Q2 – уменьшается. Сброс триггеров производится по сигналу с выхода схемы НЕ–ИЛИ в момент перекрытия во времени входных импульсов. Задержка сброса определяется временем переключения триггеров и вентиля НЕ–ИЛИ и запаздыванием в цепочке R4, C8.

Рис. 6.19. Импульсный фазовый детектор

Приведем задание на моделирование импульсного фазового детектора:

Pulse frequency detector

X1   $D_HI   2   40   $D_HI   3   $D_NC   133TM2

X2   $D_HI   2   41   $D_HI   5   $D_NC   133TM2

X3   3   5   6   133LA3

.PARAM   TAU1=0ns   TAU2=50ns

V1   40   0   PULSE (0 5 {10ns+TAU1} 1ns 1ns 98ns 200ns)

V2   41   0   PULSE (0 5 {10ns+TAU2} 1ns 1ns 98ns 220ns)

VP    8   0   20V

Q1    7    5   10  KT312A

Q2  13  11   12  KT312A

Q3  13    7     9  KT326B

R4   6   2   100

R5    3  11   470

R6    8   7   3.3k

R7   10   0   2.2k

R9     8   9   1.5k

R10  12   0   1.5k

R11  14   0   1k

C8   2   0   22pF

C10  13  14   0.01uF

C12  14    0   0.01uF

.TRAN   5ns   10us

.PROBE   V(13)   V(3)   V(5)   D(40)   D(41)   D(3$AtoD)   D(6$DtoA)

.LIB   digital.lib

.LIB   qrus.lib

.END

В директивах .LIB указаны имена файлов библиотек, в которых записаны модели цифровых ИС и биполярных транзисторов. Для источников импульсных входных сигналов V1, V2 заданы неравные периоды повторения, что соответствует режиму биений на выходе ФД.


При выполнении моделирования  под управлением Schematics по команде Analysis/Setup/Transient задаются параметры режима расчета переходных процессов. Дополнительно в меню Digital Setup (рис. 6.20) в разделе Timing выбираются значения задержек, в разделе Default A/D interface – тип интерфейса А/Ц, устанавливаемый по умолчанию, а в разделе Flip-flop Initialization – начальные состояния триггеров (по умолчанию они имеют неопределенное состояние X).
В текстовом задании на моделирование приведена директива .PROBE   V(13)   V(3)   V(5)   D(40)   D(41)   D(3$AtoD)   D(6$DtoA) для ограничения объема выходного файла данных (имеет расширение имени .dat). При использовании графического ввода схем с помощью Schematics эквивалентные результаты достигаются при выборе в меню команды Analysis/Probe Setup опции Data Collection/At Markers Only – в выходной файл будут переданы только  данные о переменных, помеченных маркерами.



Рис. 6.20. Установка параметров моделирования цифровых устройств

Результаты моделирования показаны на рис. 6.21, полученном с помощью программы Probe. На верхнем экране показаны логические уровни входных сигналов в узлах 40, 41, 3 5 6 и 2 , на среднем – аналоговые напряжения на входах ключей в узлах 3, 5, на нижнем – напряжение на выходе ФД в узле 13, позволяющее оценить период и амплитуду биений. Расчет переходных процессов, представленных на рис. 6.21, занимает 10 с на  ПК c процессором 486DX4/100  МГц.



Рис. 6.21. Результаты моделирования

В заключение отметим, что для реализации графического ввода схем аналого-цифровых устройств с помощью Schematics цифровые компоненты должны быть представлены в виде макромоделей, составляемых на основе справочных данных [24, 28].

Прочие директивы


Параметры и режимы работы

программы PSpice устанавливаются с помощью директивы (Options)

.OPTIONS [имя опции]* [<имя опции> = <значение>]*

Например:

.OPTIONS   NOPAGE   NODE   RELTOL=1e-4

Опции перечисляются в любом порядке. Они подразделяются на два вида: 1) опции, имеющие численное значение; 2) опции, не имеющие численного значения (их можно назвать флагами, находящимися в положении “включено” или “выключено”).

Приведем список флагов (в скобках указаны значения по умолчанию):

ACCT – вывод статистики времени выполнения всех видов анализа характеристик цепи и других данных о задании на моделирование (N);

EXPAND – включение в описание схемы описания макромодели (N);

LIBRARY – включение в описание схемы описания моделей из библиотечных файлов (N);

LIST – вывод списка всех компонентов цепи (N);

NOBIAS – запрещение вывода в выходной файл значений узловых потенциалов в рабочей точке (N);

NODE – печать списка соединений (N);

NOECHO – запрещение включения в выходной файл части описания схемы, располагаемой после строки с директивой .OPTIONS (N);

NOMOD – запрещение вывода списка параметров моделей (N);

NOOUTMSG – подавление передачи в выходной файл  сообщений об ошибках моделирования (N);

NOPAGE – запрещение перевода страниц в выходном файле (N);

NOPRBMSG – подавление передачи в файл данных для программы Probe сообщений об ошибках моделирования (N);

NOREUSE – запрещение автоматического сохранения и восстановления информации о режиме по постоянному току при вариации температуры, статистическом анализе, расчете наихудшего случая и при вариации параметров (N);

OPTS – вывод значений всех опций (N).

Если какой-либо флаг не указан, то по умолчанию устанавливается режим, противоположный описанному выше.

Опции, имеющие численные значения, представлены в табл. 3.19.

Таблица 3.19.

Имя опции

Наименование

Размерность

Значение по умолчанию

ABSTOL

Допустимая ошибка расчета токов в режиме TRAN

А

10

CHGTOL

Допустимая ошибка расчета заряда в режиме TRAN

Кулон

10

CPTIME

Максимальное время работы процессора, разрешенное для выполнения данного задания транзистора

с

10

DEFAD

Диффузионная площадь стока МОП-транзистора

м
 

0

DEFAS

Диффузионная площадь истока МОП-транзистора

м
 

0

DEFL

Длина канала МОП-транзистора 

м

10

DEFW

Ширина канала МОП- транзистора

м

10
 

DIGERRDEFAULT

Максимальное количество контролируемых ошибок цифровых устройств

20

DIGERRLIMIT

Максимальное количество сообщений об ошибках в цифровых устройствах

0

DIGDRVF

Минимальное выходное сопротивление цифровых устройств (для моделей UIO)

Ом

2

DIGDRVZ

Максимальное выходное сопротивление цифровых устройств (для моделей UIO)

кОм

20

DIGFREQ

Частота дискретизации при анализе цифровых устройств

Гц

109

DIGINITSTATE

Установка начального состояния триггеров: 0 – сброс; 1 –  установка; 2 – X

2

DIGOVRDRV

Отношение выходных сопротивлений цифровых устройств, при которых изменяется состояние общего выходного узла

 

3

DIGIOLVL

Уровень интерфейса А/Ц, Ц/А по умолчанию

1

DIGMNTYMX

Селектор выбора задержки цифрового устройства по умолчанию: 1 – минимум; 2 – типичное значение; 3 – максимум; 4 – мин/макс (наихудший случай для цифровых устройств)

2

DIGMNTYSCALE

Масштабный коэффициент для расчета минимальной задержки

0,4

DIGTYMXSCALE

Масштабный коэффициент для расчета максимальной задержки

1,6

DIGOVRDRV

Отношение выходных сопротивлений цифровых устройств, при которых изменяется состояние общего выходного узла

3

DISTRIBUTION

Закон распределения отклонений параметров от номинальных значений

UNIFORM

GMIN

Минимальная проводимость ветви цепи (проводимость ветви, меньшая GMIN, считается равной нулю) 

См

10

ITL1

Максимальное количество итераций в режиме DC

40 

ITL2

Максимальное количество итераций при расчете передаточных функций по постоянному току при переходе к последующей точке

20

ITL4

Максимальное количество итераций при переходе к следующему моменту времени в режиме TRAN

10 

ITL5

Общее максимальное количество всех итераций в режиме TRAN (установка ITL5=0 означает бесконечность)

0

LIMPTS

Максимальное количество точек, выводимых в таблицу или на график (LIMPTS=0  означает бесконечность)

NUMDGT

Количество значащих цифр в таблицах выходных данных (не более 8)

4

PIVREL

Относительная величина элемента строки матрицы, необходимая для его выделения в качестве ведущего элемента (режим AC)

10

PIVTOL

Абсолютная величина элемента строки матрицы, необходимая для его выделения в качестве ведущего элемента (режим AC)

10

RELTOL

Допустимая относительная ошибка расчета напряжений и токов в режиме TRAN

0,001

TNOM

Номинальная температура

С

27

VNTOL

Допустимая ошибка расчета напряжений в режиме TRAN

В

10

WIDTH

Длина строки выходного файла (аналогично директиве .WIDTH)

80

<
В процессе моделирования программа PSpice генерирует различные сообщения, которые передаются в выходной файл и файл данных для программы Probe.  Список сообщений о специфических ошибках в процессе моделирования цифровых устройств приведен в табл. 3.20.

Таблица 3.20

Тип сообщения

Объяснение ошибок

Нарушение временных соотношений

SETUP

Минимальное время установки сигнала

HOLD

Минимальное время удержания сигнала

RELEASE

Минимальное время, в течение которого сигнал неактивный (обычно для выполнения контроля типа CLEAR)

WIDTH

Минимальная длительность импульсов

FREQUENCY

Минимально или максимально допустимая частота переключений

GENERAL

Обнаружение ошибки устройством контроля, заданного с помощью булевского выражения

Гонки сигналов

AMBIGUILTY CONVERGENCE

Временная неопределенность, связанная с одновременным поступлением на один вход импульсов со спадающим и нарастающим фронтами

CUMULATIVE AMBIGUILTY

Неопределенности уровней сигналов, связанные с суммированием частично перекрывающихся фронтов импульсов

DIGITAL INPUT VOLTAGE

Предупреждающее сообщение о том, что входной сигнал находится вне допустимого диапазона напряжений. Моделирование продолжается, заменяя входное напряжение граничным значением

NER-STATE CONFLICT

При подаче на один вход одновременно нескольких сигналов различного уровня моделировщик заменяет его неопределенным сигналом Х

SUPPRESSED GLITCH

Короткие импульсы, длительность которых меньше задержки переключения, игнорируются моделировщиком

PERSISTENT HAZARD

Появление гонок сигналов на внешних портах

ZERO-DELAY-OSCILLATION

При изменении логического уровня выходного узла более чем 50 раз за единичный временной дискрет узел считается осциллирующим и моделирование прекращается

Статистические сведения о задании выводятся в выходной файл с расширением .out при введении опции ACCT в директиве .OPTION. Перечень выводимых данных приведен в табл. 3.21.



Таблица 3.21

Параметр

Значение

NUNODS

Количество узлов схемы устройства без учета подсхем

NCNODS

Количество узлов схемы устройства с учетом подсхем

NUMNOD

Общее количество узлов схемы замещения устройства с учетом внутренних узлов встроенных моделей компонентов

NUMEL

Общее количество компонентов устройства с учетом подсхем

DIODES

Количество диодов с учетом подсхем

BJTS

Количество биполярных транзисторов с учетом подсхем

JFETS

Количество полевых транзисторов с учетом подсхем

MFETS

Количество МОП-транзисторов с учетом подсхем

GASFETS

Количество арсенид-галлиевых полевых транзисторов с учетом подсхем

NDIGITAL

Количество цифровых устройств с учетом подсхем

NSTOP

Размерность воображаемой матрицы цепи (фактически не все элементы разреженных матриц хранятся в памяти)

NTTAR

Фактическое количество входов в матрице цепи в начале вычислений

NTTBR

Фактическое количество входов в матрице цепи в конце вычислений

NTTOV

Количество ненулевых элементов матрицы цепи

IFILL

Разность между NTTAR и NTTBR

IOPS

Количество операций с плавающей запятой, необходимых для решения одного матричного уравнения цепи

PERSPA

Степень разреженности матрицы цепи в процентах

NUMTTP

Количество шагов интегрирования переходного процесса

NUMRTP

Количество моментов времени при расчете переходного процесса, при которых шаг интегрирования был слишком велик и расчет повторен с меньшим шагом

NUMNIT

Общее количество итераций при расчете переходного процесса

DIGTP

Количество временных шагов при логическом моделировании

DIGEVT

Количество логических событий

DIGEVL

Количество вычислений логических состояний цифровых устройств

MEMUSE

Размер используемой области ОЗУ в байтах

READIN

Время, затраченное на чтение входного файла и поиск ошибок в нем

SETUP

Время, затраченное на формирование матрицы цепи

DC sweep

Время, затраченное на расчет передаточных функций по постоянному току

Bias point

Время, затраченное на расчет режима по постоянному току в рабочей точке

Matrix solution

Время, затраченное на решение матричного уравнения

Matrix load

Время, затраченное на составление уравнений компонентов

Digital simulation

Время, затраченное на вычисление логических состояний цифровых устройств

AC and noise

Время, затраченное на расчет в частотной области

Transient analysis

Время, затраченное на расчет переходного процесса

Monte Carlo

Время, затраченное на выполнение директив .MC и .WCASE

OUTPUT

Время, затраченное на переформатирование данных, необходимое перед выполнением директив .PRINT и .PLOT

OVERHEAD

Время, затраченное на выполнение задания

Total job time

Общее время выполнения задания, за исключением времени, затраченного на загрузку файлов программы PSpice

<


В начале знакомства с PSpice рекомендуется воспользоваться установкой параметров директивы .OPTIONS по умолчанию и изменять их по мере надобности после приобретения опыта моделирования.

Задание текстовых переменных, текстовых выражений и имен файлов производится по директиве

.TEXT <<имя> = "<имя файла>">*

.TEXT <<имя> = |<текстовое выражение>|>*

Директива .TEXT используется только при моделировании цифровых устройств. Текстовые параметры используются в следующих случаях:

– для указания имени JEDEC-файла программируемых логических матриц;

– для указания Intel Hex-файла для программирования ПЗУ или начальной записи в ОЗУ;

– для указания имени файла генератора цифровых сигналов FSTIM;

– для задания текстового параметра макромодели;

– как часть текстового выражения, используемого в указанных выше целях.

Спецификация внешних портов выполняется по директиве (введена впервые в Design Center 6.1)

.EXTERNAL   <атрибут>   <имя узла>*

Параметр <атрибут> принимает одно из значений INPUT (входной), OUTPUT (выходной) или BIDIRECTIONAL  (двунаправленный) в соответствии с назначением порта. Внешние порты предназначены для подсоединения периферийных устройств (например, генераторов сигналов) к моделируемой схеме. Приведем примеры

.EXTERNAL    INPUT   Data1,   Data2,   Data3

.EXTERNAL    OUTPUT   P1

.EXTERNAL    BIDIRECTIONAL   BPort1   BPort2   BPort3

Задание имени файла с описанием внешних воздействий

выполняется по директиве (введена впервые в Design Center 6.1)

.STIMLIB   <имя файла[.stl]>

Файл описания внешних сигналов с расширением .stl создается программой Stimulus Editor (разд. 7.1) и делается доступным для моделирования с помощью этой директивы. Расширение имени файла, в том числе и .stl, указывать обязательно.

Задание внешних воздействий выполняется с помощью директивы (введена впервые в Design Center 6.1)

.STIMULUS    <имя сигнала>      <тип>   <параметры>*

Приведем примеры

.STIMULUS   InputPulse  PULSE (-1mv  1mv  2ns  2ns  50ns  100ns)

.STIMULUS   DigitalPulse   STIM  (1,1)

+  0s        1

+  10ns    0

+  20ns    1

.STIMULUS   50KHZSIN   SIN   (0   5   50kHz   0   0   0)

Директива .STIMULUS вносится в библиотеки воздействий, созданных с помощью программы Stimulus Editor. Каждое воздействие имеет имя <имя сигнала>, присваиваемое источникам напряжения или тока (типа V или I) или источникам цифровых сигналов (типа STIM).


Программа автоматической трассировки SPECCTRA


Программа SPECCTRA успешно трассирует платы большой сложности благодаря применению нового принципа представления графических данных, так называемой ShapeBased-технологии. В отличие от известных ранее пакетов, в которых графические объекты представлены в виде набора координат точек, в этой программе используются более компактные способы их математического описания. За счет этого повышается эффективность трассировки печатных плат с высокой плотностью расположения компонентов, автоматической трассировки одной и той же цепи трассами разной ширины и др.

Автотрассировщик SPECCTRA использует адаптивные алгоритмы, реализуемые за несколько проходов трассировки. На первом проходе выполняется соединение абсолютно всех проводников без обращения внимания на возможные конфликты, заключающиеся в пересечении проводников на одном слое и нарушении зазоров. На каждом последующем проходе автотрассировщик пытается уменьшить количество конфликтов, разрывая и прокладывая вновь связи (метод Rip-up-and-retry) и проталкивая проводники, раздвигая соседние (метод Push-and-shove).  Информация о конфликтах на текущем проходе трассировки используется для “обучения” – изменения весовых коэффициентов (штрафов) так, чтобы путем изменения стратегии уменьшить количество конфликтов на следующем проходе.

Система SPECCTRA  имеет большое количество команд, которые задаются двояко:

– набором их на командной строке или выбором из системы меню, снабженных панелями диалога и пиктограммами;

– включением команды в текстовый файл, так называемый Do-файл. Протокол выполнения команд заносится в Did-файл, который после редактирования может использоваться как Do-файл.

Трассировка проводников проводится в три этапа:

– предварительная трассировка;

– автотрассировка;

– дополнительная обработка результатов автотрассировки.

Все фазы трассировки выполняются в интерактивном или автоматическом режиме с помощью набора команд:

Bus - разводка только тех выводов компонентов, которые имеют одинаковые координаты X или Y.
Применяется для предварительной трассировки микросхем памяти или других однородных структур;

Fanout - генерация переходных отверстий рядом с контактными площадками планарных компонентов и соединение их короткими проводниками (аналогично стрингерам системы P-CAD);

Route - трассировка абсолютно всех проводников без обращения внимания на конфликты: пересечение проводников в одном слое и нарушение зазоров. Разводка выполняется за несколько проходов. На первом проходе разводятся все проводники. На последующих проходах переразводятся соединения, имеющие конфликты. При этом динамически изменяются весовые коэффициенты (штрафы) так, чтобы постепенно уменьшить количество конфликтов;

Clean - разводка заново всех проводников с прокладкой их по новым трассам,  без допуска возникновения новых конфликтов.

Эти команды повторяются неоднократно в разных комбинациях, выбор которых определяет успех трассировки. Типичная последовательность команд выглядит следующим образом:

Bus diagonal

Fanout 5

Route 25

Clean 2

Route 50 16

Clean 4

Дополнительная обработка оттрассированной платы выполняется с помощью команд:

Spread – введение дополнительного зазора между проводниками;

Testpoint – добавление контрольных точек;

Miter – замена изгибов проводников под  углом 90

 на диагональные трассы под углом 45
, длина которых не меньше заданной;

Recorner – замена изгибов проводников под  углом 90
 на диагональные трассы под углом 45
.

Всем объектам печатной платы присваивается определенный уровень иерархии, и вводятся правила трассировки, составляющие стратегию трассировки. В результате можно, например, автоматически проложить трассу, состоящую из сегментов разной ширины, что невозможно в системе P-CAD. В стратегию трассировки можно включить большое количество подкоманд, задающих способы изгиба трасс, центрирование проводников и другие особенности стиля разводки конкретной платы.

Графический редактор EditRoute позволяет проконтролировать разведенную плату и внести в нее следующие изменения:



– провести неразведенные трассы. При проведении трассы вокруг нее для наглядности пунктиром указывается допустимый зазор. В конце трассы стрелками показывается направление наиболее короткого пути и при нажатии кнопки Finish Route прокладка трассы завершается автоматически;

– сдвиг трасс и переходных отверстий. При перемещении сегмента трассы она автоматически огибает препятствия, в частности переходные отверстия. И наоборот, при перемещении переходных отверстий автоматически деформируются проводники, если включена опция Push Routing;

– копирование проводников. Позволяет за одну операцию проложить несколько “подобных” трасс;

– замена типов переходных отверстий;

– удаление  петель и изломов проводников, изменение их ширины.

Помимо обычного контроля соблюдения технологических зазоров типа проводник–проводник, проводник–переходное отверстие и т.п. в системе SPECCTRA выполняется контроль максимальной длины параллельных проводников, расположенных на одном или двух смежных слоях, что позволяет уменьшить уровень перекрестных искажений и уровень шума проектируемого устройства. Контролируется также максимальное запаздывание сигнала в отдельных цепях.

Вызов программы SPECCTRA производится автономно из среды Windows или из программы PCBoards.  В последнем случае в меню Tools выбираются команды настройки конфигурации автотрассировщика CCT:Setup и CCT:Net Rules (см. разд. 8.1.2) и команда начала автотрассировки CCT:Autoroute. В результате начнется выполнение трассировки текущей платы в автоматическом режиме, по окончании которого управление будет передано обратно в PCBoards. Экран программы SPECCTRA в режиме автотрассировки показан на рис. 8.5.





Рис. 8.5. Экран программы SPECCTRA в режиме автотрассировки

В верхней части экрана расположено горизонтальное меню команд и панель пиктограмм. Снизу от рабочего окна помещены строки состояний  (Status Line) и сообщений о фазах трассировки (Message Line). Здесь же расположена строка ввода команд в режиме интерактивной трассировки (Сommand).


В самом нижнем окне OUTPUT дается подробная текущая информация о ходе выполнения каждой фазы трассировки.

Назначения пиктограмм приведены в табл. 8.7.

Таблица 8.7

Пиктограмма

Эквивалентная команда

Пиктограмма

Эквивалентная команда



Режим размещения компонентов



Трассировка проводников (Edit Route Mode)



Режим трассировки проводников (Route)



Сдвиг трассы (Move Route Mode)



Перечерчивание экрана (Repaint)



Копирование трассы (Copy Route Mode)



Масштабирование изображения  на полный экран (Fit view)



Спрямление трассы (Critic  Route Mode)



Список слоев (Layer panel)



Разрезание сегмента  трассы (Cut Segment Mode)



Отчет о плате (Status report)



Удаление сегмента трассы (Delete/Segment Mode)



Режим измерения расстояний (Measure Mode)



Перемещение компонентов (Move Comp Mode)



Режим выбора компонентов (Select Component Mode)



Проталкивание компонентов (Mode Comp Push)



Режим выбора цепей (Select Net Mode)



Поворот компонентов на 90o (Mode Pivot  90)



Режим выбора проводников (Select Wire Mode)



Перенос компонента на противоположную сторону платы (Mode Flip)



Режим выбора в окне (Select Guide Mode)



Перестановка двух компонентов (Mode Trade)



Отсутствие лицензии на запрашиваемую команду



Выравнивание двух или более компонентов (Mode Align)

Программа SPECCTRA имеет режим интерактивной трассировки, который переключается щелчком по пиктограмме
.  Нажатие правой кнопки мыши активизирует меню этого режима:

INTERACTIVE ROUTE

Setup...

Select:                 Component Mode

                            Net Mode

                            Wire Mode

                            Guide Mode

UnSelect All Objects

Measure Mode

Edit Route Mode

Move Route Mode

Copy Route Mode

Critic Route Mode

Change Via Mode

Change Wire Mode

Cut Segment Mode

Delete

Undo

Щелчок по пиктограмме
 переводит программу в режим интерактивного размещения компонентов.


В этом режиме нажатие правой кнопки мыши активизирует его меню:

INTERACTIVE PLACE

Setup...

Select:                 Component Mode

                            Gate Mode

                            Subgate Mode

                            Pin Mode

                            Terminator Mode

Unselect All Objects

Measure Mode

Place Components:         Place Connect. Mode

                                        Guided Place Connect. Mode

                                        Guided Place Overlap Allowed Mode

                                        XY Location...

                                        Place List Mode...

Move Comp Mode

Push Comp Mode

Pivot Comp Mode

Flip Comp Mode

Trade Comp Mode

Align Comp Mode

Swap

Undo

После запуска SPECCTRA в автономном режиме на экран выводится  панель начального диалога, показанная на рис. 8.6.





Рис. 8.6. Начальный диалог программы SPECCTRA

SPECCTRA выполняет размещение компонентов и трассировку платы, на которой предварительно размещены компоненты с помощью одного из графических редакторов печатных плат PCBoards, P-CAD, TangoPRO, PADS, Protel, OrCAD. Плата с предварительно размещенными компонентами транслируется в формат пакета SPECCTRA. Разведенная в программе SPECCTRA печатная плата транслируется обратно. Процедура трансляции встроена в редактор PCBoards системы Design Center, к остальным программам трансляторы поставляются отдельно.

В меню начальной загрузки на рис. 8.6 на первой строке Design/Session File  указывается имя полученного в результате трансляции  файла печатной платы в формате SPECCTRA (обычно имеет расширение имени .dsn) или имя файла сессии трассировки, созданного по команде File/Write/Session (расширение имени .ses). Остальные строки начального меню заполнять не обязательно – на них указываются имена входных файлов правил трассировки проводников, команд трассировки, список паролей и выходных файлов протоколов команд, сообщений программы, статистики платы и карты цветов.



Обратим внимание, что к программе SPECCTRA дополнительно поставляются несколько опций, расширяющих ее функциональные возможности:

ADV  (Advanced) –  расширение возможностей настройки стратегии трассировки сложных плат, в частности разных значений ширины трассы и зазоров на разных слоях трассировки, назначение индивидуальных правил трассировки отдельным цепям и т. п.;

DFM (Design for Manufacturability)  –  повышение технологичности проекта, автоматическая генерация контрольных точек для всех или выделенных цепей, сглаживание углов, увеличение зазоров при наличии свободного пространства;

HYB  (Hybrid) – поддержка технологии межслойных  переходных отверстий, размещения переходных отверстий рядом с выводами планарных компонентов, технологии монтажа гибкими проводниками;

FST (Fast Circuit) – учет особенностей проектирования высокочастотных устройств, контроль максимальной длины параллельных проводников для уменьшения перекрестных искажений, контроль задержек распространения сигналов, разводка дифференциальных каскадов, скругление углов, специальные правила трассировки для выделенных областей, введение экранирующих цепей.

Кроме того, имеются разные варианты лицензий для разработки двуслойных печатных плат без ограничения количества компонентов, четырехслойных плат с количеством компонентов не более 4000 и 256-слойных печатных плат без ограничения количества компонентов.

 

Глава 2. ГРАФИЧЕСКИЙ ВВОД СХЕМ

2.1. Общие положения

Графический редактор Schematics пакета Design Center 6.2  на платформе Windows позволяет создавать чертежи принципиальных схем и передавать управление программам PSpice, PLogic, StmEd, Probe, Parts, Polaris, Optimizer и PCBoard.

Изучение основных команд редактора Schematics не занимает много времени, особенно если имеется опыт работы с распространенными системами P-CAD [19] или OrCAD (но знакомство с ними не обязательно). Однако необходимо иметь представление о работе в среде MS Windows .

Возможность редактирования символов –  условных графических обозначений (УГО) отдельных компонентов –  и нанесения надписей на схему по-русски  позволяет создавать чертежи принципиальных схем в соответствии с отечественными стандартами.


Поддерживаются иерархические и многостраничные структуры. Принципиальные схемы, созданные в редакторе Schematics, могут в виде списка соединений передаваться в системы разработки печатных плат P-CAD, PADS, OrCAD, Tango, CADStar, Protel, Scicards и, конечно, в собственный редактор PCBoards системы Design Center для упаковки на печатные платы. С другой стороны, импорт схем возможен только из системы OrCAD. Редактор Schematics управляется с помощью системы ниспадающих меню. Имеется встроенное средство помощи для получения краткой информации о правилах работы с редактором.

Имеется очень интересная возможность моделировать функциональные схемы устройств с разными представлениями каждого блока.  Каждому блоку функциональной схемы можно поставить в соответствие несколько схем его замещения. Сначала проводится моделирование на уровне функционального описания каждого блока. Затем для ряда блоков составляются их принципиальные схемы (причем в нескольких вариантах), и выполняется моделирование устройства без перечерчивания его функциональной схемы.

После загрузки графического редактора под управлением Windows (головной модуль программы находится в файле psched.exe) выводится его основной экран (рис. 2.1). В верхней части экрана располагается горизонтальное меню, состав пунктов которого зависит от выбранного режима редактирования:

– редактирование принципиальных электрических схем;

– редактирование символов компонентов.

После загрузки программы устанавливается режим редактирования схем.  На схему наносятся изображения символов компонентов, которые соединяются электрическими проводниками или линиями групповой связи (шинами), а также имена цепей и текстовые надписи, редактируются и создаются вновь атрибуты компонентов (перечень их параметров). Схема может располагаться на одной или нескольких страницах, возможны иерархические структуры. Правильность составления схемы проверяется с помощью программы Electrical Rule Check (ERC); отыскиваются, конечно,  лишь простейшие ошибки типа неподсоединенных выводов.



В режиме редактирования символов компонентов создаются новые символы и редактируются существующие. Новые символы проще всего создавать копированием графики существующих символов и внесением изменений как в графическое изображение символов, так и в текстовые атрибуты. В этом режиме также редактируется информация об упаковке корпусов компонентов, необходимая для создания списка соединений для проектирования печатных плат.

В центре верхней строки экрана размещается имя файла текущей схемы и номер страницы.  Звездочка (*) перед именем схемы означает, что в схему внесены изменения, которые еще не сохранены. После номера страницы в скобках  указывается состояние схемы после моделирования: current означает, что после выполнения моделирования схема не изменялась,  stale – означает изменение схемы.





Рис. 2.1. Экран редактора Schematics в режиме редактирования схем

В нижней части экрана размещается строка состояний. В ней слева указаны текущие координаты курсора X, Y в английской системе единиц независимо от выбранного формата чертежа (это неудобно, поэтому для точного отыскания места расположения ошибки необходимо предварительно по команде Options/Page size установить английскую систему единиц). Справа указывается имя текущей подкоманды, а посередине –  строка сообщений с краткими указаниями  по  ее выполнению.

После выбора команды из меню команд вниз разворачивается подменю со списком подкоманд, ряд которых имеет опции для ее настройки, устанавливаемые в диалоговых окнах. Выбор пункта меню осуществляется с помощью клавиатуры или  мыши. При использовании клавиатуры для выбора пункта горизонтального меню нажимается клавиша Alt и одновременно клавиша с буквой, подчеркнутой в имени команды (см. рис. 2.1). Для вызова команды из ниспадающего меню просто нажимается клавиша с подчеркнутой буквой.  Кроме того, имеется набор пиктограмм для быстрого вызова наиболее употребительных подкоманд (табл. 2.1). (Пиктограммы впервые появились в версии Design Center 6.2.)



Таблица 2.1

Пиктограмма

Эквивалентная команда

Пиктограмма

Эквивалентная команда



File/New



Draw/Block (схемы)



File/Open



Draw/Text (схемы),

Graphics/Text (символы)



File/Save



Graphics/Arc (символы)



Немедленный вывод на принтер



Graphics/Box (символы)



View/In



Graphics/Circle (символы)



View/Out



Graphics/Line (символы)



View/Area



Graphics/Pin (символы)



View/Fit



Edit/Attributes



Draw/Get New Part (схемы), Part/Get (символы)



Edit/Symbol (схемы)



Draw/Wire (схемы)



Analysis/Setup (схемы)



Draw/Bus (схемы)



Analysis/Simulate (схемы)

 Нажатием (щелчком) левой кнопки мыши выбираются пункты меню и команды, а на чертеже схем – различные объекты (компоненты, их выводы, проводники, метки, элементы графики и т. п.). Выбранные объекты ярко высвечиваются (обычно красным цветом). Работа с мышью производится по  правилам, указанным в табл. 2.2.

Таблица 2.2

Клавиша  мыши

Действие

 Функция

Левая

Одинарный щелчок

Выбор объекта (выбранный объект изменяет окраску)

Одинарный щелчок на выбранном объекте и удерживание кнопки

Буксировка выбранного объекта

Двойной щелчок при расположении курсора на  объекте

Редактирование выбранного объекта

Shift+одинарный щелчок

Выбор нескольких объектов

Двойной щелчок

Завершение команды

Правая

Одинарный щелчок

Прерывание команды

Двойной щелчок

Повторение предыдущей операции

Поясним основные функции мыши.

Выбор –  выбор объекта (компонента, проводника, текста и т. п.) осуществляется щелчком левой кнопки мыши. Цвет выбранного графического объекта (символ, проводник, шина) изменяется обычно на красный. При выборе атрибута компонента он окаймляется прямоугольной рамкой, а символ компонента, которому он принадлежит, –  пунктирной рамкой.


Выбор следующего объекта отменяет выбор предыдущего. Для одновременного выбора группы из нескольких объектов нужно при их выборе нажать и удерживать кнопку Shift, для исключения объекта из группы нажимается Shift и производится щелчок по объекту.  Выбор всех объектов, находящихся в некоторой области, осуществляется нажатием левой клавиши мыши и ее удерживанием при перемещении мыши по схеме – в результате на экране появляется растягивающийся прямоугольник (Region of Interest box, ROI),  положение которого фиксируется при отпускании клавиши. Выбранный объект, группу объектов или область можно перемещать, вращать, копировать и удалять.

Буксировка –  перемещение одного или нескольких выбранных объектов или области выполняется нажатием и удерживанием левой клавиши мыши, после чего курсор перемещают в новое положение. Фиксация выполняется после отпускания клавиши.

Отмена команды – отмена текущей команды выполняется нажатием на клавиатуре кнопки Esc, выбором режима Cancel в диалоговом окне редактирования или однократным щелчком левой клавиши мыши.

Растягивание  – при выполнении команды Draw/Bock на схеме появляется изображение прямоугольного блока, размеры которого изменяются с помощью правой кнопки мыши при нажатии и удерживании кнопки Shift.  В режиме редактирования символов аналогично растягиваются линии, прямоугольники, дуги и окружности, введенные по командам Draw/Line, Draw/Box, Draw/Arc, Draw/Circle.

Редактирование атрибутов – двойной щелчок на символе компонента, проводнике, тексте или атрибуте вызывает на экран  диалоговые окна  редактирования.

Для ускорения работы с графическим редактором ряд наиболее употребительных команд, помимо пиктограмм, вызывается с помощью функциональных клавиш Fn и комбинаций клавиш, назначение которых приведено в табл. 2.3, 2.4.

Таблица 2.3

Функциональная клавиша

Редактирование схем  

Редактирование символов

F1

Помощь, Help

Помощь, Help

F2

Перейти на нижний уровень иерархии, Navigate/Push

Включение сетки, Options/Display Options

F3

Перейти на верхний уровень иерархии, Navigate/Pop

 -

F4

Привязка текста к сетке, Options/Display Options

Привязка текста к сетке, Options/Display Options

F5

Ортогональность, Options/Display Options

Автоматическая прокрутка, Options/Display Options

F6

Привязка к сетке, Options/Display Options

Привязка к сетке, Options/Display Options

F7

Автоматическая нумерация проводников/портов, Options/Auto-Naming

-

F8

Автоматическое повторение, Options/Auto-Repeat

Автоматическое повторение, Options/Auto-Repeat

F9

Режим “резиновой нити”, Options/Display Options

-

F10

Вывод списка ошибок, File/Current Errors

Вывод списка ошибок, File/Current Errors

F11

Вызов программы моделирования, Analysis/Simulate

-

F12

Вызов программы Probe, Analysis/Probe

-

<


Примечание. Нажатие клавиш Shift+ Fn отменяет действие соответствующей команды.

Таблица 2.4

Комбинация клавиш

Редактирование схем  

Редактирование символов

Ctrl+A

Просмотр области, View/Area

Просмотр области, View/Area

Ctrl+B

Ввод шины, Draw/Bus

 -

Ctrl+D

Провести проводник заново, Draw/Rewire

Определение корпуса, Part/Definition

Ctrl+E

Ввод метки, Edit/Label

Редактирование упаковочной информации, Packaging//Edit

Ctrl+F

Зеркальное отображение, Edit/Flip

Зеркальное отображение, Edit/Flip

Ctrl+G

Взять новый символ, Draw/Get New Part

Взять новый символ, Part/Get

Ctrl+H

-

Изменить, Edit/Change

Ctrl+I

Увеличить изображение, View/In

Увеличить изображение, View/In

Ctrl+L

Перерисовать, View/Redraw

Перерисовать, View/Redraw

Ctrl+M

Пометить маркером цепь для вывода ее потенциала с помощью программы Probe, Markers/Mark Voltage/Level

-

Ctrl+N

Перерисовать схему на всю страницу, View/Fit

Перерисовать символ на весь экран, View/Fit

Ctrl+O

Уменьшить изображение, View/Out

Уменьшить изображение, View/Out

Ctrl+P

Поместить на схему символ, Draw Place Part

Список выводов, Part/Pin List

Ctrl+R

Повернуть символ на 90
, Edit/Rotate

Повернуть символ на 90
, Edit/Rotate

Ctrl+S

Сохранить, File/Save

Сохранить, File/Save

Ctrl+T

Ввести текст, Draw/Text

Тип вывода, Edit/Pin Type

Ctrl+U

Восстановить удаленный объект, Edit/Undelete

Восстановить удаленный объект, Edit/Undelete

Ctrl+V

Взять из буфера, Edit/Past

Взять из буфера, Edit/Past

Ctrl+W

Ввеcти проводник, Draw/Wire

-

Ctrl+X

Копировать в буфер, Edit/Cut

Копировать в буфер, Edit/Cut

Delete

Удалить объект, Edit/Delete

Удалить объект, Edit/Delete

Пробел

Повторить, Draw/Repeat

Повторить, Draw/Repeat

2.2.


Редактирование принципиальных схем

Редактирование принципиальных схем осуществляется с помощью ряда команд, сгруппированных в следующих пунктах горизонтального меню:

File – загрузка, создание и сохранение файлов схем, вывод схем на принтер или плоттер, переход в режим редактирования символов;

Edit – редактирование или удаление символов компонентов на текущей схеме;

Draw – ввод проводников, шин и размещение символов компонентов на текущей странице схемы;

Navigate – выбор страницы схемы для редактирования;

View – изменение масштаба изображения схемы на экране;

Options – установка параметров дисплея и принтера/плоттера;

Analysis – создание списка соединений схемы, поиск ошибок в схеме, вызов программ моделирования PSpice или PLogic и программы Probe;

Tools – интерфейс с программами проектирования печатных плат, программ Polaris, Optimizer и PLSyn, создание макромоделей;

Markers – размещение на поле чертежа маркеров, помечающих узлы цепи или выводы компонентов, графики напряжений или токов которых выводятся с помощью программы Probe;

Window – работа с окнами;

Help – вызов средств помощи.

Приведем сначала краткое описание команд редактирования принципиальных схем.

Команда

  Назначение

Меню File

New...   

Очистка рабочего окна для построения новой схемы

Open...

Открытие (загрузка) существующего файла схемы для последующего редактирования

Close

Закрытие текущего окна (если схема была изменена, предлагается ее сохранить)

Import...

Загрузка схемы из системы OrCAD

Save  (Ctrl+S )

Сохранение внесенных изменений в текущем файле

Save As...

Сохранение внесенных изменений в новом файле, имя которого указывается по дополнительному запросу

Print...

Вывод твердой копии одной или более страниц текущей схемы

Printer Select...

Установка параметров принтера: разрешающая способность, размер бумаги, горизонтальное (Landscape) или вертикальное (Portrait) расположение изображения на бумаге

Edit Library...

Переход в режим редактирования библиотек символов

Symbolize...

Преобразование текущей схемы в символ. Внешние выводы схемы помечаются входными (IF_IN), выходными (IF_OUT) и общего назначения (INTERFACE) портами из библиотеки port.slb. Создаваемое автоматически изображение символа имеет форму прямоугольника, доступную для редактирования

Reports...

Создание перечня элементов текущей схемы (Bill of Materials), спецификация которого задается по команде Setup:

 

Format

Задание формата вывода атрибутов:

[пояснительный текст]@<имя атрибута>

 

Component Description File

Задание имени файла описания компонентов (.cdf) для включения его в спецификацию схемы

 

Print Output Format

Текстовый формат, упорядоченный по типам компонентов (Text) или по именам/значениям атрибутов (Database)

Current Errors...  (F10)

Просмотр списка ошибок текущей схемы, обнаруженных при выполнении команды ERC и составлении списка соединений

Exit  (Alt+F4)

Завершение работы с графическим редактором

Файл 1, ... , Файл 4

Список последних четырех загруженных файлов

Меню  Edit

Undelete  (Ctrl+U)

Восстановление последнего удаленного объекта

Cut  (Ctrl+X, Delete)

Удаление объекта(ов) на схеме и помещение его (их) в буфер

Copy  (Ctrl+C)

Копирование объекта(ов) в буфер для последующего размещения на схеме по команде Paste

Paste  (Ctrl+V)

Размещение на схеме последнего объекта, занесенного в буфер по команде Cut или Copy. (После помещения символа в буфер обмена по команде Past он копируется на схему также нажатием клавиши [Пробел])

Copy to Clipboard

Копирование выбранной прямоугольной области схемы в буфер обмена Clipboard системы Windows

Delete  (Del)

Удаление выбранного объекта(ов) со схемы (содержимое буфера не стирается)

Attributes...

Редактирование атрибутов выбранного объекта(ов). Все внесенные изменения относятся к выбранному символу и не переносятся в библиотеку

Label...  (Ctrl+E)

Присвоение имени (метки) выбранному проводнику,  сегменту шины или порту

Model...

Просмотр и редактирование директив .MODEL или .SUBCKT выбранного символа. Измененные директивы заносятся в отдельный файл и доступны только для текущей схемы. Эту же процедуру можно сделать с помощью программы Parts. Имеются подрежимы:

Change Мodel Reference...

Просмотр и изменение имени модели выбранного компонента

Edit Instance Мodel (Text)...

Редактирование копии описания модели или макромодели с помощью текстового редактора. В этом режиме добавляются параметры модели, необходимые для учета разброса параметров по методу Монте-Карло (что невозможно с помощью Parts)

Edit Instance Мodel (Parts)...

Вызов Parts для редактирования моделей, которые поддерживаются этой программой

Stimulus...

Вызов программы редактирования внешних воздействий Stimulus Editor. Возможно редактирование всех воздействий текущей страницы (current page), текущей схемы (current schematic)  и всех воздействий иерархического проекта (all levels of hierarchy)

Symbol

Переход в режим редактирования выбранного символа

Views...

Просмотр списка схем, ассоциируемых с выбранным иерархическим символом (блоком), и изменение имени его схемы. Это дает возможность иметь несколько схем замещения одного иерархического символа

Convert Block...

Преобразование в символ выбранного блока(ов) активного окна после проверки полноты необходимой информации. Блок размещается на схеме по команде Draw/Block

Rotate  (Ctrl+R)

Вращение выбранного объекта(ов) на 90
 против часовой стрелки

Flip  (Ctrl+F)

Создание зеркального отображения выбранного объекта(ов)

Align Horizontal

Выравнивание точек привязки (origin) всех выбранных объектов (символов, проводников, текста и т. п.)  по горизонтали. Объекты выравниваются по самому левому  объекту

Align Vertical

Выравнивание точек привязки (origin) всех выбранных объектов (символов, проводников, текста и т. п.)  по вертикали. Объекты выравниваются по самому верхнему объекту

Replace...

Замена всех компонентов того же типа, что и выбранный, компонентом другого типа

Find...

Поиск компонентов, проводников и шин схемы. Поиск проводится по имени или значению указываемых атрибутов (символы * и ? разрешаются)

Меню Draw

Repeat  ([Пробел])

Повторение предыдущей команды

Place Part...  (Ctrl+P)

Размещение на схеме одного экземпляра компонента, предварительно выбранного по команде Get New Part

Text...  (Ctrl+T)

Размещение на схеме текста  с изменением его размера. Имя шрифта и его номинальный размер устанавливаются по команде Options/Editor Configuration/Fonts

Wire  (Ctrl+W)

Изображение проводника (точка электрического контакта образуется лишь при их Т-образном соединении)

Bus  (Ctrl+B)

Изображение шины (линии групповой связи). Шине в целом или ее отдельным сегментам должно быть по команде Edit/Label

присвоено имя, включающее в себя имена входящих в нее проводников

Block

Размещение на схеме одного или нескольких блоков (прямоугольной формы), имеющих иерархическую структуру. Подведенные к контуру прямоугольника проводники и шины автоматически подсоединяются к нему и образуют выводы. Имена выводов проставляются по умолчанию и могут быть изменены. По команде Convert Block изображение блока преобразуется в символ. Ассоциация блока с существующей схемой (его схемой замещения) выполняется по команде Edit/Views. Создание схемы замещения блока выполняется по команде Navigate/Push

Get New Part...  (Ctrl+G)

Ввод имени компонента, размещаемого на схеме, или его выбор из каталога библиотек (просмотр каталога по опции Browse). Имя компонента, введенное по этой команде,  передается команде Place Part

Rewire  (Ctrl+D)

Перерисовка проводника или сегмента шины без изменения расположения его крайних точек

Меню Navigate

Previous Page...

Загрузка предыдущей страницы схемы для редактирования

Next Page...

Загрузка следующей страницы для редактирования

Select Page...

Загрузка указанной страницы схемы

Create Page...  (Ctrl+N)

Добавление новой страницы к текущей схеме

Delete Page...

Удаление текущей страницы схемы

Copy Page...

Копирование одной или нескольких страниц указанной схемы в текущую схему

Edit Page Info...

Редактирование заголовка страницы схемы (page title)

Edit Schematic Instance

Просмотр и редактирование атрибутов блока или иерархического символа после выполнения команды Push

Edit Schematic Definition

Просмотр и редактирование атрибутов схемы

Push  (F2)

Переход на более низкий уровень иерархии выбранного блока или иерархического символа

Pop  (F3)

Переход на более высокий уровень иерархии выбранного блока или иерархического символа

Top

Возвращение на самый верхний уровень схемы

Where ...

Вывод на экран информации о иерархической структуре схемы

Меню View

Fit  (Ctrl+N)

Изменение масштаба изображения так, чтобы на полном экране разместились все имеющиеся на схеме компоненты, проводники и соединители

In  (Ctrl+I)

Увеличение масштаба изображения (центр поля зрения указывается курсором). Степень увеличения назначается с помощью параметра ZOOMFACTOR в файле msim.ini

Out  (Ctrl+O)

Уменьшение масштаба изображения (центр поля зрения указывается курсором)

Area  (Ctrl+A)

Вывод на весь экран окаймленной части изображения.

Previous

Возвращение к предыдущему масштабу изображения.

Entire Page

Вывод на экран полного изображения страницы схемы, размер которой задан по команде Option/Page Size

Redraw  (Ctrl+L)

Перечерчивание экрана

Pan-New Center

Расположение схемы симметрично относительно точки расположения курсора без изменения масштаба

Меню Options

Display Options...

Установка следующих опций дисплея:

 

Grid On

Включение сетки

 

Snap to Grid

Автоматическое перемещение объекта для привязки к узлам сетки при его размещении вне сетки чертежа

 

Orthogonal

Режим ввода только ортогональных линий

 

Stay on Grid

Привязка объектов к узлам сетки

 

Snap to Pin

Привязка концов проводников или сегментов шин к ближайшему выводу компонента, находящемуся в области захвата. Значение ее радиуса назначается в строке Gravity или с помощью параметра SnapToPinGravity  файла msim.ini

 

Rubberband

Включение режима прокладки эластичных электрических связей

 

Status Line

Вывод строки состояний

 

Cursor X and Y

Вывод текущих координат курсора в строке состояний

 

Toolbar

Включение/выключение строки инструментов с изображением пиктограмм

 

Grid Spacing

 Шаг сетки

 

Text Grid

Привязка текста к узлам сетки (Stay on Grid) и задание ее шага (Grid Size)

 

Gravity

Радиус области захвата

Page size...

Выбор формата чертежа в английской (A, B, C, D, E, X) или метрической системе (А4, А3, А2, А1, А0). В соответствии с типом выбранного формата устанавливается система единиц на схеме. Изменять систему единиц можно на любой стадии работы со схемой

Auto-Repeat...

Автоматическое размещение на схеме копии предыдущего объекта(ов), смещенной на заданное расстояние (после двойного щелчка)

Auto-Naming...

Автоматическое назначение позиционных обозначений компонентов и имен проводников и шин при их размещении на схеме

Set Display Level... 

Разрешение размещения на экране и/или твердой копии текста и других параметров компонента:

 

Pin Numbers

Номеров выводов

 

Pin Names

Имен выводов

 

Hidden Pins

Скрытых выводов (обычно это выводы питания и “земли” интегральных схем)

 

Title and Border

Заголовков и границ чертежа

 

Page Boundary

Рамок чертежей страниц

 

Junctions

Точки соединения проводников

 

Ports

Портов

 

Markers and ViewPoints

Маркеров

 

RefDes

Позиционных обозначений компонентов

Part Names

Имен корпусов компонентов

Labels

Имен (меткок) цепей

Attribute Text

Текстовых атрибутов

User Def. #1

Первого параметра, задаваемого пользователем

User Def. #2

Второго параметра, задаваемого пользователем

User Def. #3

Третьего параметра, задаваемого пользователем

User Def. #4

Четвертого параметра, задаваемого пользователем

Editor Configuration...

Ввод списка имен загружаемых библиотек символов символов, путей доступа к ним и к библиотекам заголовков и всех других параметров конфигурации, устанавливаемых по умолчанию, за исключением конфигурации цвета:

Libraries

Перечень загруженных библиотек символов

Libraries Path

Путь доступа к библиотекам

Title Block Symbol

Имя символа углового штампа страницы чертежа, надписи в котором представлены в виде атрибутов

Autosave interval

Интервал автосохранения

Monochrome Mode

Включение монохромного режима

Library Settings

Редактирование списка загруженных библиотек символов

Page Settings

Задание размеров  области печати (Drawing Area), имени символа рамки чертежа (Border Symbol, по умолчанию вычерчивается одинарная линия по периметру чертежа) и расстояния между выводами компонентов (Pin-to-Pin Spacing) для изменения размера символа при печати

App Settings

Выбор программы моделирования (PSpice или PLogic), редактирование командных строк отдельных программ, задание дополнительных файлов конфигурации (вместо msim.ini)

Fonts

Выбор шрифта

Pan&Zoom

Изменение коэффициентов масштабирования

Restricted Operations

Запрещение добавления номера страницы к имени проводника

Translators...

Выбор имени программы, в формате которой составляются списки соединений (PSPICE, PCBOARDS, CADSTAR, EDIF, ORCAD, PADS, PCAD, PROTEL, TANGO, SCICARDS и POLARIS)

Меню Analysis

Electrical Rule Check

Проверка соблюдения правил составления принципиальных электрических схем (ERC). Обнаруженные ошибки просматриваются по команде Current Errors

Create Netlist

Создание списка соединений текущей схемы, в котором указываются все компоненты, их соединения и параметры (в файлах .net и .als), а также файла задания на моделирование .cir, в котором содержатся директивы для программы PSpice, указанные на схеме и созданные по командам Setup

Setup

Задание директив моделирования и их параметров (приведем данные для PSpice):

AC Sweep...

Расчет характеристик линеаризованной схемы в частотной области и уровня ее внутреннего шума

Load/Save Bias Points...

Запоминание/чтение режима схемы по постоянному току

DC Sweep...

Расчет режима по постоянному току при вариации входного напряжения, тока или температуры

Monte Carlo/ Worst Case...

Статистический анализ по методу Монте-Карло/ расчет наихудшего случая

Digital Setup

Спецификация дополнительных данных при анализе переходных процессов цифровых и смешанных устройств (времена задержек, тип интерфейса и установка начальных условий триггеров)

Options...

Задание параметров, контролирующих точность результатов моделирования, и ряда других

Parametric...

Задание варьируемых параметров

Temperature...

Установка температуры (по умолчанию 27
С)

Transient...

Расчет переходных процессов и спектральный анализ по Фурье

Library and Include Files...

Спецификация библиотек моделей (Library), текстовых файлов (Include) и файлов внешних воздействий (Stimulus), просматриваемых при составлении списков соединений. Эти файлы добавляются как глобальные или локальные для данной схемы

Simulate  (F11)

Вызов программы PSpice для моделирования текущей схемы. После вызова этой команды автоматически выполняются команды Annotate, Electrical Rule Check и Create Netlist (если они не были вызваны перед этим вручную). Моделирование выполняется, если в схеме нет ошибок

Probe Setup...

Установка параметров программы Probe:

Auto Run Option

Автоматический вызов программы Probe после завершения моделирования (Automatically Run Probe After Simulation) или после начала моделирования (Monitor Waveforms)

At Probe Startup

Восстановление экрана прошлого сеанса (Restore Last Probe Session), вывод всех графиков переменных, помеченных маркерами (Show All Markers), выбранных маркеров (Show Selected Markers), или никаких (None)

Data Collection

Занесение в файл данных только тех переменных, которые помечены маркерами (At Markers Only), всех переменных (All), всех переменных, за исключением внутренних узлов макромодели (All Exept Internal Subcircuit Data), или ничего (None)

Text Data File Format (CSDF)

Вывод данных в текстовый файл .txt

Run Probe  (F12)

Вызов графического постпроцессора Probe для просмотра результатов моделирования в графической форме. При успешном завершении программы PSpice результаты моделирования передаются через файл данных .dat или .txt

Examine Netlist...

Просмотр списка соединений текущей схемы в файле .net (имя текстового редактора указывается в файле msim.ini)

Examine Output...

Просмотр текстового файла .out с результатами моделирования

Меню Tools

Annotate...

Автоматическое присвоение позиционных обозначений компонентам текущей схемы

Create Layout Netlist

Составление списка соединений схемы в формате выбранного редактора печатных плат

Run Layout Editor

Запуск редактора печатных плат, выбранного по команде Configure Layout Editor (при выборе редактора PCBoards название команды изменяется на Run PCBoards)

Back Annotate...

Внесение в схемы изменений, сделанных в печатной плате, на основании файла ECO

Configure Layout Editor...

Выбор текстового редактора и редактирование командной строки для его запуска

Browse Netlist

Просмотр списка соединений схемы (для редактора P-CAD имеет расширение имени .alt)

View Package Definition...

Просмотр упаковочной информации о выбранном компоненте

Create Subcircuit..

Создание списка соединений подсхемы текущего и всеx более низких уровней иерархии (файл .sub)

Run Polaris...

Вызов панели программы расчета целостности сигналов Polaris

Run PLSyn

Вызов панели настройки конфигурации программы PLSyn

Run PSpice Optimizer

Вызов панели настройки конфигурации программы оптимизации PSpice Optimizer

Use Optimized Params

Назначение оптимизируемым параметрам значений, полученных на предыдущем прогоне процедуры оптимизации

Меню Markers

Mark Voltage/Level (Ctrl+M)

Построение графиков напряжений или логических уровней цепей. Маркеры должны размещаться в любой точке проводника или в конце вывода компонента

Mark Voltage Differential

Размещение на поле схемы двух маркеров, помечающих разность потенциалов

Mark Current into Pin

Размещение на поле схемы маркеров, помечающих выводы компонентов, токи которых наносятся на графики программой Probe. Компоненты имеют два вывода (резистор, диод и т. п.) или три вывода (транзистор)

Mark Advanced...

Размещение на поле схемы дополнительных маркеров:

 

vdb

Напряжение в децибелах (режим AC)

idb

Ток в децибелах (режим AC)

vphase

Фаза напряжения (режим AC)

iphase

Фаза тока (режим AC)

vgroupdelay

Групповое время запаздывания напряжения (режим AC)

igroupdelay

Групповое время запаздывания тока (режим AC)

vreal

Действительная часть напряжения (режим AC)

ireal

Действительная часть тока (режим AC)

vimaginary

Мнимая часть напряжения (режим AC)

iimaginary

Мнимая часть тока (режим AC)

POLARIS

Цепь, для которой в программе  Polaris рассчитываются паразитные эффекты

IMARKER

Ток

NODEMARKER

Напряжение узла

VDIFFMARKER

Разность напряжений

Clear All

Удалить со схемы все маркеры на всех страницах

Show All

Вывести в программе Probe графики для всех маркеров  на всех страницах

Show Selected

Вывести в программе Probe графики переменных, помеченных выбранными маркерами

Меню Window

New

Открытие нового окна, на котором размещена текущая схема для одновременного просмотра разных фрагментов одной и той же схемы большого размера

Close

Закрытие текущего окна

Arrange

Упорядочивание размещения открытых окон

1 <заголовок окна>

Список открытых окон

.    .    .    .

[9 <заголовок окна>]

[Дополнительные окна]

Меню Help

 

Index

Вывод списка разделов встроенной инструкции

Keyboard

Вывод списка назначений функциональных клавиш и комбинаций клавиш

Menu Commands

Вывод инструкции о всех командах

Procedures

Вывод правил настройки конфигурации

Using Help

Вывод кратких указаний по работе со встроенной инструкцией

About...

Вывод номера версии программы и ее регистрационного номера

<


Примечание. Три точки после имени команды (...) отмечают так называемые расширенные команды, перед выполнением которых необходимо ввести дополнительную информацию на панелях диалога.

Редактирование принципиальных схем производится в следующей примерной последовательности.

1. Работа с графическим редактором начинается с очистки поля экрана (если это необходимо) после выбора команды File/New. Затем по командe Options/Page Size

устанавливается размер схемы. По команде Options/Editor Configuration/Page Settings/Border Symbol на чертеж схемы наносится изображение его рамки, согласованной с установленными размерами схемы. Изображение рамки хранится в виде отдельного символа, например  A4, размещаемого в создаваемой пользователем библиотеке, например russian.slb. Аналогично по команде Options/Editor Configuration/Title Block Symbol наносится изображение углового штампа, стандартные надписи в котором представлены в виде атрибутов, редактируемых пользователем (см. ниже). Угловой штамп (или основная надпись) помещаются в отдельном символе, например stamp, по умолчанию на схему помещается символ titleblk. Заметим, что изображения рамки и углового штампа можно нанести на схему по ее завершению. Если символ рамки Border

не указан, то габаритные размеры схемы ограничиваются на экране (и при печати на бумагу) одинарными линиями. Размер схемы (и систему единиц) можно изменять на любой фазе работы со схемой.

2. Далее, в пункте Options настраивают конфигурацию графического редактора   (рис. 2.2). При загрузке редактора конфигурация устанавливается по умолчанию, однако полезно убедиться в ее правильности и при необходимости ввести корректировку. В частности, по команде Options/Display Options

устанавливаются шаг координатной сетки (Grid Spacing – рекомендуется шаг 2,5 мм или 0,1 дюйма) и другие параметры дисплея, из которых обязательным является включение режима привязки графических объектов к узлам сетки (Stay on Grid), что необходимо для удобства подсоединения проводников к выводам компонентов.


Редактирование производится в панели диалога этой команды (рис. 2.3). Формат чертежа и система единиц (метрическая/английская) выбираются по команде Options/Page size.





Рис. 2.2. Меню пункта Options





Рис. 2.3. Панель диалога команды Options/Display Options

Для редактирования параметра, имеющего числовое значение, нажатием левой кнопки мыши выбирается поле редактирования (окруженное прямоугольной рамкой), и его содержание редактируется как обычная текстовая переменная. Переключение параметров, имеющих два значения (On – включено, Off – выключено), осуществляется переключателем (прямоугольник слева от имени параметра, в режиме On прямоугольник помечается крестиком). Завершение установки параметров и завершение работы в панели диалога производится выбором командной кнопки OK, отмена – выбором Cancel.

3. Редактирование существующей схемы начинается с загрузки SCH-файла по команде File/Open, в результате чего на экране появляется ее чертеж, а в верхней строке горизонтального меню – имя схемы (на рис. 2.1 загружена схема lcosc.sch).





Рис. 2.4. Панель диалога команды Draw/Get New Part





Рис. 2.5. Каталог библиотеки amb.slb

4. Размещение символа компонента на схеме начинается с указания имени компонента в панели диалога, открывающейся по команде Draw/Get New Part (см. рис. 2.4).  Эта команда вызывается также одновременным нажатием “горячих” клавиш Ctrl+G или, что еще проще, выбором пиктограммы
 на линейке инструментов.  В панели диалога после указателя Part: вводится имя символа компонента, находящегося в одной из SLB-библиотек. В начале работы редактора загружаются SLB-библиотеки, указанные ранее по команде Options/Editor Configuration. Кроме того, для просмотра перечня компонентов, имеющихся в загруженных библиотеках, курсором выбирается опция Browse. В результате выводится меню со списком библиотек (рис. 2.5), в котором курсором помечается одна из них. После этого выводится каталог выбранной библиотеки, в котором курсором выбирается имя компонента (краткое описание компонента приводится на строке Description).


Выбор компонента завершается нажатием командной кнопки OK, в результате чего на экране появляется изображение компонента, “привязанное” к курсору. Нажатие левой кнопки мыши фиксирует расположение компонента, после чего его можно поместить в другом месте. Нажатие правой кнопки  завершает команду.

Команда Draw/Place Part (или Ctrl+P) размещает на схеме один экземпляр текущего компонента (т. е. выбранного ранее по команде Draw/Get New Part). При этом для повторения предыдущей команды не обязательно указывать ее имя – достаточно два раза подряд нажать правую кнопку мыши.

 

Замечания. 1). В процессе выбора места расположения компонента на схеме его можно повернуть или получить зеркальное изображение нажатием клавиш Ctrl+R (Rotate) или Ctrl+F (Flip).

2). Выбранный компонент (окрашен другим цветом, обычно красным) перемещается на схеме после фиксации и удерживания на нем курсора.

Для проведения моделирования на схеме нужно проставить позиционные обозначения компонентов, что возможно в ручном или автоматическом режиме. Автоматическая простановка осуществляется в процессе ввода компонентов, если по команде Options/Auto Naming выбрана опция Enable Reference Designator. Введенные автоматически позиционные обозначения компонентов редактируются после двойного щелчка мыши при  расположении курсора на этом обозначении. Кроме того, после завершения построения схемы по команде Tools/Annotate

можно выполнить переименование позиционных обозначений, а также  необходимую для разработки печатной платы упаковку схемы (рис. 2.6).





Рис. 2.6. Автоматическое переименование позиционных обозначений компонентов и выполнение упаковки схемы

Обратим внимание, что графический редактор Schematics позволяет именовать компоненты на схеме так, как это требуется по ЕСКД, например транзисторы всех типов именуются V1, V2 ... В то же время в текстовом задании на моделирование биполярные транзисторы автоматически получат префикс Q (например, Q_V1), полевые – префикс M (например, M_V2) и т.


д., как это требуется по правилам PSpice (см. гл. 3). В версии PSpice для DOS это невозможно, что создает неудобства при оформлении технической документации.





Рис. 2.7. Панель редактирования атрибутов

компонентов

Большинство компонентов характеризуется набором параметров, представленных в редакторе Schematics в виде атрибутов. Например, резистор может характеризоваться номинальным сопротивлением и температурным коэффициентом, транзистор –  именем математической модели. Перечень атрибутов каждого компонента задается при создании его символа (см. разд. 2.3), а на схеме их конкретные значения задаются по команде Edit/Attribute (или двойным щелчком на символе компонента, или выбором пиктограммы
). По этой команде в панели диалога редактируются значения атрибутов выбранного компонента (рис. 2.7). По этому же принципу редактируются надписи углового штампа.  Измененные таким образом значения атрибутов сохраняются лишь в изображении символа на схеме и не переносятся в библиотеки символов. Обратим внимание, что на схеме можно отредактировать только те атрибуты, при создании которых включен параметр Changeable in schematic (см. разд. 2.3). Такие атрибуты не помечены символом звездочка “*” (например, на рис. 2.7 разрешается редактировать лишь атрибуты GATE и COMPONENT). Атрибуты, помеченные символом “a”, проставляются автоматически.

5. Изображение проводников, соединяющих выводы компонентов, наносится на схему по команде Draw/Wire (Ctrl+W,
). После выбора этой команды изображение курсора принимает форму карандаша. Нажатие левой кнопки фиксирует начало проводника, и при перемещении курсора прокладывается проводник. Каждое одиночное нажатие левой кнопки фиксирует точку излома проводника, после чего можно изменить его направление. Ввод проводника завершается нажатием правой кнопки или двойным нажатием левой кнопки. Если конец проводника совпадает с выводом компонента или концом другого проводника, то после нажатия левой кнопки проведение проводника заканчивается, курсор по-прежнему имеет форму карандаша и возможна прокладка нового проводника (в версии Design Center 6.1 эта возможность отсутствует).



Заметим, что при включении параметра Orthogonal

команды Options/Display Options

проводники проводятся только с изломами под прямым углом, а при включении параметра Rubberband  проводник при движении курсора растягивается как резиновая нить.

Электрическое соединение пересекающихся проводников отмечается точкой. В редакторе Schematics точка соединения автоматически проставляется только для Т-образных пересечений проводников. Поэтому для обеспечения электрического контакта пересекающихся проводников необходимо сначала нарисовать первый проводник. Затем начать рисовать второй и закончить его (нажатием левой кнопки) в точке пересечения с первым – в результате будет нанесена точка электрического соединения. После этого можно продолжить построение второго проводника от этой точки.

Имя (метка) присваивается проводнику по команде Edit/Label

(Ctrl+E), предварительно выделив его курсором. По этой команде на экран выводится панель ввода имени Set Attribute Value/LABEL, которая также активизируется двойным щелчком мыши по проводнику.  Однако для проведения моделирования присваивать вручную имена всем проводникам не обязательно, так как всем неименованным проводникам автоматически присваиваются имена вида $N_0001, $N_0002 и т. д. Ссылаться на них неудобно, поэтому имеет смысл проставить вручную имена тем проводникам, на которые будут сделаны ссылки в процессе моделирования. При этом если по команде Options/Auto-Naming активизирован параметр Auto Naming и задан шаблон (имя первого проводника, например M1), то при выполнении команды Edit/Label выбранным проводникам по порядку автоматически назначаются порядковые номера, увеличивающиеся на единицу, например M1, M2 и т. д.

На схеме обязательно должен быть узел “земли”, имеющий имя 0, – к нему  подсоединяется символ AGND (аналоговая “земля”) из библиотеки port.slb.

Обратим внимание, что при выполнении однотипных операций (рисования нескольких проводников, присвоения им имен и т. п.) удобно двойным нажатием правой кнопки мыши повторить выполнение предыдущей команды (ее имя помещено в правой части строки состояний).



7. Изображение шин (линий групповой связи), состоящих из нескольких проводников, наносится на схему по команде Draw/Bus

(Ctrl+B,
) более широкой линией, чем проводник. Способ рисования шин такой же, как и проводников. Различие состоит в присвоении имени шине. Все шины обязательно должны иметь имена в виде списка имен входящих в них цепей, разделенных запятыми. Например, шина, состоящая из трех цепей, имеющих имена A, N1, N2, должна иметь имя LABEL=A,N1,N2; шина из цепей B0, B1, B2 может иметь имя B[0-2].  Имена можно присваивать и отдельным секциям шины, состоящим из разного количества цепей.

8. Размещение на схеме произвольного текста, который выводится на твердую копию чертежа, но не передается в программу моделирования, производится по команде Draw/Text (Ctrl+T,
). Изменение масштаба шрифта производится при вводе или редактировании текста, а выбор шрифта – по команде Options/Editor Configuration/Fonts.

9. Внесенные в схему изменения записываются в текущий каталог в файл схемы с расширением .sch по команде File/Save

(Ctrl+S). Если схема создана вновь, дополнительно запрашивается имя схемы. Запись схемы в файл с другим именем производится по команде File/Save As.

10. Схемы большого размера помещаются на нескольких страницах. Создание новых страниц и переход на другую страницу осуществляются по командам группы Navigate. Цепи, расположенные на нескольких страницах, соединяются с помощью имеющих одинаковые имена символов GLOBAL из библиотеки port.slb.

11. При моделировании применяются иерархические структуры трех типов –  блоки, иерархические символы и макромодели (subcircuits – подцепи):

а) изображение блока в виде прямоугольника размещается на схеме по команде Draw/Block. К контуру блока подводятся внешние проводники и шины –  в этих точках автоматически создаются внешние выводы блока и проставляются их номера. Таким образом удобно создать функциональную схему устройства. Схема замещения каждого блока создается по команде Navigate/Push (после выбора блока щелчком левой кнопки мыши), и, в свою очередь, она может иметь вложенные блоки без ограничений на количество уровней иерархии.


На этой схеме автоматически размещаются порты интерфейса (символы INTERFACE), соответствующие внешним выводам блока. После завершения схемы замещения блока к портам подводятся проводники (при создании схемы замещения блока вручную эти символы размещаются на схеме по команде Draw/Get New Part). Обратно на верхний уровень иерархии возвращаются по команде Navigate/Pop. Каждому блоку может соответствовать несколько вариантов схем замещения, что позволяет выполнить моделирование различных вариантов. Подсоединение к блоку конкретной схемы замещения производится по команде Edit/Vies

или двойным щелчком мыши по изображению блока. Схема замещения блока хранится в файле с расширением имени .sch. В принципе блок можно преобразовать в символ по команде Edit/Convert Block, но обратное преобразование невозможно;

б)  создание иерархического символа начинается с создания его схемы замещения. К внешним выводам по команде Draw/Get New Part

подключаются интерфейсные порты из библиотеки port.slb:

IF_IN –  для левых (входных) выводов;

IF_OUT –  для правых (выходных) выводов;

INTERFACE –  для остальных выводов.

Всем этим портам присваиваются имена. После этого по команде Edit/Symbolize автоматически создается изображение иерархического  символа прямоугольной формы (в дальнейшем его можно отредактировать по команде Edit/Symbol). Изображение иерархического символа заносится в библиотеку с расширением имени .slb, а его схема замещения –  в файл .sch;

в) макромодели (подцепи, subcircuits) имеют текстовое описание их схем замещения (см. разд. 3.2.7), что уменьшает вычислительные затраты на моделирование.  Схема замещения макромодели создается так же, как для иерархического символа (см. п. 2), а затем выполняется команда Tools/Create Subcircuit. В результате будет создано текстовое описание схемы замещения, на первой строке которого помещена директива .SUBCKT, перечислены имена внешних выводов (присвоенных портам интерфейса) и имя макромодели, совпадающее с именем файла ее схемы замещения.


Последняя строка содержит директиву окончания описания макромодели .ENDS. Текстовое описание макромодели заносится в файл с расширением имени .sub.

12. Моделирование производится после выполнения команд Analysis/Setup (
) и Analysis/Simulate

(
), подробности см. в гл. 3, 6. После завершения моделирования автоматически запускается программа построения графиков Probe (см. разд. 7.2), если по команде Analysis/Probe Setup включена опция Automatically Run Probe After Simulation. Если к тому же по команде Markers

на схеме размещены маркеры, то на экране Probe сразу будут выведены соответствующие графики. Для оперативного вывода графиков сразу после начала моделирования (как в программе Micro-Cap [18]) нужно по команде Analysis/Probe Setup включить опцию Monitor Waveforms.

13. Печать схемы на принтер производится по команде File/Print. Обычным образом выбирается тип принтера, размер бумаги, ориентация схемы (Portrait или Landscape) и ряд других параметров. В частности, в разделе Page Setup

устанавливаются размеры полей сверху, снизу, слева и справа, что позволяет точно позиционировать чертеж на листе бумаги. Затем выбирается масштаб:

Auto-fit: one schematic page per printer page

– автоматический выбор масштаба так, чтобы бумагу выбранного размера целиком заполнил чертеж схемы;

User-definable zoom factor – задание масштаба изображения в процентах.

Толщина линий чертежа изменяется в строке PRINTERLINEWIDTH раздела SCHEMATICS файла конфигурации системы msim.ini – указывается толщина линий в пикселах (например, для матричного 9-игольчатого принтера 1 пиксел соответствует 0,2 мм при масштабе печати 100%).


Программа параметрической оптимизации Optimizer


В Design Center параметрическая оптимизация [1] выполняется  методом наискорейшего спуска путем взаимодействия модуля Optimizer с графическим редактором Schematics, программой моделирования PSpice и постпроцессором Probe. Критерий оптимизации – обеспечение заданного значения целевой функции при выполнении ряда линейных и нелинейных ограничений.

1. В Schematics создается схема оптимизируемого устройства, на которой с помощью символов OPTPARAM из библиотеки special.slb определяются оптимизируемые параметры (см. пример на рис. 1.1). Щелчком по заголовку символа открывается панель спецификации оптимизируемых параметров (рис. 7.15) и задаются следующие величины:

Name – имя параметра;

Initial Value – начальное значение;

Current Value – текущее значение (вводится либо начальное значение, либо текущее, либо оба);

Lower Limit – нижняя граница изменения параметра;

Upper Limit – верхняя граница изменения параметра;

Tolerance – допуск стандартизованного ряда значений параметров, используемый при округлении значений параметров, в процентах.

Рис. 7.15. Спецификация варьируемых параметров с помощью символа OPTPARAM

Нажатие кнопки Add Param добавляет введенные значения к списку параметров.

Введенные таким образом параметры могут быть использованы при задании параметров компонентов схемы (сопротивлений резисторов и т. п.) и их моделей; они заключаются в фигурные скобки { }.

Далее в меню Analysis по команде Setup задают вид анализа и диапазон изменения текущей переменной (частоты, времени, источника постоянного напряжения или глобального параметра). Например, на рис. 7.16 задано единственное значение постоянного напряжения V1=5v в режиме DC.

Рис. 7.16. Расчет режима DC в единственной точке

2. Критерий оптимизации задается непосредственно в программе Optimizer, которая вызывается из меню Tools программы Schematics по команде Run Optimizer.  Главное окно программы Optimizer показано на рис. 7.17.

Рис. 7.17. Главное окно программы Optimizer

<
В правой верхней части этого окна помещен список значений  функций, которые могут быть целевыми функциями или ограничениями (раздел Specifications), в нижней – перечень варьируемых параметров (раздел Parameters). Редактируются они в меню Edit.

Целевые функции рассчитываются с помощью программы Probe. Они задаются следующим образом:

–  расчет значения функции в одной точке (как в примере на рис. 7.16);

– расчет значения целевой функции Goal Function, записанной в файл с расширением имени .prb;

–  расчет выражений, заданных в программе Optimizer.

Целевые функции программы Probe позволяют рассчитать минимум или максимум функции, расстояние между выделенными точками и т. п.

По команде Specifications меню Edit открывается окно спецификации  функций. Нажатием кнопки Add открывается меню  функций программы Optimizer (рис. 7.18).  С его помощью вводятся следующие данные:

Name –  имя  функции;

Enabled – включение режима расчета  функций на следующей итерации;

Reference – выбор между внутренней спецификацией (Internal), задаваемой в диалоговом окне, и внешней (External), адресуемой к имени файла данных;

Weight –  весовой коэффициент  функции.

Внутренняя спецификация задается параметрами:

Target – желаемое значение  функции;

Range – ширина допустимого диапазона значений функции;

Constraint – включение/выключение режима учета ограничений. Если режим Constraint включен, задаваемая в этом окне функция является ограничением, в противоположном случае – целевой функцией;

Type – тип ограничения: =target – равно, >=target – больше или равно, <=target – меньше или равно заданному значению функции.

Внешняя спецификация задается параметрами:

File – имя файла данных, содержащего значения функции;

X Column Name – заголовок столбца данных, содержащего значения отсчетов переменной x;

Y Column Name – заголовок столбца данных, содержащего значения отсчетов переменной y;

Tolerance – класс допуска при округлении результатов вычислений до стандартных значений.



Остальные параметры имеют следующий смысл:

Analysis – выбор вида анализа (AC, DC или Tran);

Circuit File – имя файла схемы, к которому обращаются при моделировании (если оно не указано, то математические выражения вычисляются непосредственно);

Probe File Containing Goal Functions (.prb) – имя файла целевых функций для программы Probe (расширение имени по умолчанию .prb);

Evaluate – спецификация математического выражения для  функции. В него могут входить имена переменных, Goal Function и любых параметров.





Рис. 7.18. Спецификация целевых функций и ограничений

3. Запуск процесса оптимизации выполняется в меню Tune. По команде Update Performance рассчитываются характеристики схемы для начальных и текущих значений каждого параметра. Это может использоваться для проверки корректности постановки задачи оптимизации. Значения целевых функций для начальных значений параметров отображаются в главном окне программы, что позволяет оценить близость этих значений к оптимальным.

По команде Update Derivatives вычисляются чувствительности каждой целевой функции к изменению каждого параметра, равные частным производным. Информация о чувствительностях позволяет выбрать параметры, к изменению которых целевые функции наиболее чувствительны. Матрица чувствительностей отображается на экране по команде Show Derivatives.

Оптимизация в автоматическом режиме запускается по команде Auto/Start. Сначала вычисляется матрица чувствительностей и определяется направление изменения параметров. Движение в этом направлении происходит до тех пор, пока не перестанет уменьшаться разность между текущим и требуемым значениями целевой функции. После этого снова вычисляется матрица чувствительностей и новое направление изменения параметров. По достижении оптимума процесс оптимизации завершается или его нужно  остановить по команде Auto/Terminate. Результаты оптимизации отображаются в главном окне программы (рис. 7.17).

Оптимизация в интерактивном режиме начинается с вычисления чувствительностей по команде Update Derivatives. После этого в основном окне программы изменяются значения параметров и выполняется команда Update Performance для расчета новых значений целевых функций.


Однако при этом моделирование не производится, а расчет основывается на матрице чувствительностей. Поэтому в таком режиме изменения параметров должны быть небольшими. Далее снова производится расчет матрицы чувствительностей и вносятся новые изменения в значения параметров.

Заметим, что любой параметр или функцию можно исключить из процесса оптимизации, переведя выключатель около имени параметра или функции  в главном меню в положение  Disable (крестик должен исчезнуть).

После успешного завершения оптимизации найденные значения параметров отображаются в главном меню. В связи с тем что ряд параметров компонентов, например сопротивления резисторов, не могут принимать любые значения, можно выполнить округление их значений до ближайшей стандартной величины по команде Edit/Round Values. Точность округления определяется параметром Tolerance, который может принимать значения 1, 5 и 10%. Новые значения целевых функций после округления параметров рассчитываются автоматически.

Значения найденных в процессе оптимизации параметров переносятся на схему по команде Edit/Update Schematic. Новые значения параметров отображаются в атрибутах символов OPTPARAM.

Замечание. В связи с тем что никакой регулярный метод оптимизации не может в общем случае обеспечить нахождение глобального экстремума, рекомендуется выполнять параметрическую оптимизацию в два этапа. Сначала  проводят статистические испытания по методу Монте-Карло, варьируя параметры в широких пределах. По результатам этих испытаний определяется несколько локальных экстремумов, в окрестности каждого их которых выполняется оптимизация с помощью программы Optimizer. Другой способ – проводят несколько циклов оптимизации, интуитивно выбирая  разные начальные значения параметров.

Перечень команд программы Optimizer приведен в табл. 7.6.

Таблица 7.6

Команда

  Назначение

Меню File

New   

Очистка рабочего окна для создания  нового файла задания на оптимизацию .opt

Open... 

Открытие (загрузка) существующего файла задания на оптимизацию

Save

Сохранение внесенных изменений в текущем файле

Save As... 

Сохранение внесенных изменений в новом файле, имя которого указывается по дополнительному запросу

Report

Создание текстового файла отчета об оптимизации (.oot)

Exit  ( Alt+F4)

Завершение работы

Файл 1, ... , Файл 4

Список последних четырех загруженных файлов

Меню  Edit

Parameters...

Редактирование списка оптимизируемых параметров

Specifications...

Редактирование списка целевых функций

Store Values

Копирование текущих значений в качестве начальных значений спецификаций и параметров

Reset Values

Копирование начальных значений в качестве текущих значений

Round Values

Замена значения каждого параметра ближайшим стандартным значением (погрешность округления задается параметром Tolerance)

Update Schematic

Перенос на схему оптимальных значений параметров

Меню Tune

Update Performance

Расчет характеристик схемы для начальных и текущих значений каждого параметра

Update Derivatives

Вычисление чувствительности каждой целевой функции к изменению каждого параметра

Show Derivatives

Отображение матрицы чувствительностей

Auto

Управление процессом оптимизации в автоматическом режиме:

 

Start

Начало оптимизации

 

Terminate

Прекращение оптимизации

Меню Options

Defaults ...

Установка параметров оптимизации:

Delta

Относительное приращение параметров для расчета производных, в процентах

Max. Iterations

Максимальное количество итераций в процессе оптимизации

Display

Имя конфигурации дисплея програмы Probe, предварительно сохраненной по команде Tools/Display Configuration

Advanced Options

Дополнительные параметры:

Cutback

Минимальная доля внутреннего шага изменения параметров

Threshold

Минимальный шаг изменения параметров

One Goal

Выбор метода минимизации при задании значения функции в одной точке

Recalculate ...

Режим расчета новых значений параметров и функций:

Auto

Автоматическое обновление результатов

Manual

Расчет параметров после нажатия кнопки Parameters, целевых функций – кнопки Results

Меню Help

 

About ...

Вывод номера версии программы и ее регистрационного номера




Программа расчета параметров моделей компонентов Parts


Программа Parts рассчитывает по паспортным данным параметры моделей полупроводниковых приборов (диодов, биполярных, полевых  и мощных МОП-транзисторов), макромоделей операционных усилителей, компараторов напряжения, регуляторов напряжения, стабилизаторов напряжения и моделей магнитных сердечников. Математическое описание этих моделей дано в гл. 4, 5.

Математические модели компонентов записываются в библиотечные файлы с расширением имени .lib (примеры приведены в Приложении 4). При желании можно составить файлы отдельных моделей, имеющие расширение имени .mod. Помимо параметров математических моделей в эти файлы заносится также протокол ввода паспортных данных, так что при уточнении отдельных параметров нет необходимости вводить заново все паспортные данные. В файлах отлаженных библиотек протокол паспортных данных обычно удаляется, чтобы уменьшить объем файлов, сделать их удобочитаемыми.

Программа Parts вызывается щелчком мыши по одноименной пиктограмме. Она управляется с помощью команд ниспадающего меню. Кроме того, имеется набор пиктограмм для быстрого вызова наиболее употребительных подкоманд (см. табл. 7.7).

Таблица 7.7

Пиктограмма

Эквивалентная команда

Пиктограмма

Эквивалентная команда

Part/New

View/Out

Part/Get

View/Area

Part/Save

View/Fit

Plot/Display

Plot/X Axis Settings/Linear (Log)

Немедленный вывод на принтер

Plot/Y Axis Settings/Linear (Log)

View/In

Extract/Parameters

Краткое описание команд программы Parts приведено в табл. 7.8.

Таблица 7.8

Команда

  Назначение

Меню File

Open/Create Library...

(Ctrl+F)

Загрузка/создание файла библиотеки моделей для последующего редактирования

Save Library (Ctrl+S)

Сохранение внесенных изменений в текущей библиотеке

Save As Library...

Сохранение внесенных изменений в новом библиотечном файле, имя которого указывается по дополнительному запросу

Print...  (Ctrl+H)

Печать графиков одного или нескольких окон

Page Setup...

Настройка параметров страницы

Printer Select...

Выбор типа принтера

Log Commands...

Включение/выключение режима составления файла протокола команд (расширение имени .cmd)

Run Commands...

Выполнение файла протокола команд

Exit  (Alt+F4)

Завершение работы с графическим редактором

Файл 1, ... , Файл 4

Список последних четырех загруженных файлов

Меню  Edit

Cut  (Ctrl+X, Del)

Удаление одного из дополнительных графиков (для выбора графика курсором отмечается его имя)

Parameter (Ctrl+R, двойной щелчок по имени параметра)

Редактирование выбранного параметра модели (расположены в правом списке основного окна).  Возможно задание диапазона допустимых значений параметра (Upper, Lower). Щелчок по панели  Freez current value from extraction не позволяет изменять введенное значение параметра в процессе обработки паспортных данных

Spec  (Ctrl+O, двойной щелчок по названию спецификации)

Редактирование выбранной  спецификации паспортных данных компонента (расположены в левом списке основного окна)

Меню Part

New...

Создание новой модели компонента: указывается имя модели на строке Part Name  и выбирается ее тип из списка  Select Part Type

Copy...  (Ctrl+C)

Копирование параметров существующей модели из выбранной библиотеки под новым именем в текущую библиотеку

Get...  (Ctrl+G)

Загрузка параметров выбранной модели из текущей библиотеки

Save

Сохранение в библиотеке параметров текущей модели

IBIS transistor  (Ctrl+B)

Трансляция модели формата IBIS (из файла с расширением имени .ibs) в формат PSpice

Export...

Запись параметров текущей модели в отдельный текстовый файл .mod

Import...

Импортирование в библиотеку параметров модели из текстового файла .mod

Меню Trace

Add...  (Ctrl+T)

Добавление графика в текущем окне. По умолчанию предлагается построить график при другом значении температуры. Имя варьируемого параметра изменяется по команде Plot/X Axis Settings/Trace Variable

Меню Plot

Display  (Ctrl+D)

Построение графика, соответствующего выбранной спецификации

X Axis Settings...

Задание диапазонов значений по оси X:

 

Data Range

Диапазон изменения

 

Linear

Линейная шкала

 

Log

Логарифмическая шкала

 

Trace Variable

Выбор имени независимой переменной

Y Axis Settings...

Задание диапазонов значений по оси Y:

Data Range

Диапазон изменения (Auto –  выбираемый автоматически, User  Defined – назначаемый пользователем) 

Linear

Линейная шкала

Log

Логарифмическая шкала

Меню View

Fit  (Ctrl+N)

Изменение масштаба изображения так, чтобы на полном экране разместились все имеющиеся на схеме компоненты, проводники и соединители

In  (Ctrl+I)

Увеличение масштаба изображения (центр поля зрения указывается курсором). Степень увеличения назначается с помощью параметра ZOOMFACTOR в файле msim.ini

Out  (Ctrl+O)

Уменьшение масштаба изображения (центр поля зрения указывается курсором)

Area  (Ctrl+A)

Вывод на весь экран окаймленной части изображения

Previous

Возвращение к предыдущему масштабу изображения

Entire Page

Вывод на экран полного изображения страницы схемы, размер которой задан по команде Option/Page Size

Redraw  (Ctrl+L)

Перечерчивание экрана

Pan–New Center

Расположение схемы симметрично относительно точки расположения курсора без изменения масштаба

Меню Extract

Parameters  (Ctrl+E)

Расчет параметров математической модели на основании введенных паспортных данных

Меню Options

Toolbar

Выключение/включение линейки пиктограмм

Меню Window

Close

Закрытие текущего окна

Arrange...

Упорядочение размещения открытых окон

1 <заголовок окна>

Список открытых окон

.    .    .    .

[9 <заголовок окна>]

[Дополнительные окна]

Меню Help

 

Index

Вывод списка разделов встроенной инструкции

Keyboard

Вывод списка назначений функциональных клавиш и комбинаций клавиш

Menu Commands

Вывод инструкции о всех командах

Using Help

Вывод кратких указаний по работе со встроенной инструкцией

About...

Вывод номера версии программы и ее регистрационного номера

<


Поясним принцип работы с Parts на примере создания модели диода. Сначала по команде File/Open/Create Library указывается имя файла библиотеки моделей диодов (если такого файла не существует, то создается новый файл с расширением имени .lib). Далее по команде Part/New (или выбором пиктограммы
) вводится имя модели диода и в предлагаемом списке типов моделей указывается его тип  DIODE. Доступны следующие типы моделей:

CORE – магнитный сердечник;

DIODE – диод;

NMOS (PWR MOSFET) – мощный МОП-транзистор с каналом n-типа;

NPN TRANSISTOR – биполярный n–p–n-транзистор;

OPAMP – операционный усилитель;

PJF – полевой транзистор с каналом p-типа;

PMOS (PWR MOSFET) – мощный МОП-транзистор с каналом p-типа;

PNP TRANSISTOR – биполярный p–n–p-транзистор;

VOLT. COMPARATOR – компаратор напряжения;

VOLT. REFERENCE – стабилизатор напряжения;

VOLT. REGULATOR – регулятор напряжения.

К введенному в панели Name имени встроенной модели программа добавляет префикс в соответствии с типом модели: к имени диода – букву D, биполярного транзистора – Q, полевого транзистора – J, МОП-транзистора – M, магнитного сердечника – K.  Например, если ввести имя модели диода 520A, то программа Parts присоединит к нему префикс D и в библиотеку будет занесена модель D520A. К именам макромоделей, к которым относятся операционные усилители, компараторы, регуляторы и стабилизаторы напряжения, префикс не добавляется.





Рис. 7.19. Экран ввода паспортных данных

После ввода имени и типа модели на экран выводится список параметров модели (рис. 7.19). В левой части экрана приведен перечень спецификаций паспортных данных, в правой – перечень параметров математической модели, рассчитанной на основе введенных паспортных данных. Первоначально всем параметрам модели присваиваются значения по умолчанию.

Паспортные данные вводятся порциями на отдельных экранах в двух режимах:

1) в режиме Device curve вводятся по точкам координаты характеристики, например ВАХ диода, зависимость барьерной емкости p–n-перехода от напряжения смещения и т.


п.  (рис. 7.20, а). На экране одновременно помещаются координаты 10 точек; если их больше, то появляется линейка прокрутки. Координаты новой точки вводятся по команде Add. Эти данные рекомендуется вводить в порядке возрастания независимой переменной. Ввод точек завершается командой OK. По команде Plot/Display (или выбором пиктограммы
)  на экране вычерчивается аппроксимирующая функция и значками отмечаются введенные точки, на основании которых она построена;

2) в режиме Device data вводятся значения отдельных параметров устройства (рис. 7.20, б).





Рис. 7.20. Ввод координат графиков (а) и значений отдельных параметров (б)
На основании порции введенных данных программа Parts по команде Extract/Parameters (или после выбора пиктограммы
) рассчитывает ряд параметров математической модели, которые отображаются на графике. Переход из режима отображения графиков в режим ввода параметров выполняется по команде Window/Close.

Вызов панели ввода данных осуществляется двойным щелчком на имени их спецификации в левой части изображенного на рис. 7.19 экрана. Редактирование значения отдельных параметров математической модели выполняется после двойного щелчка по его имени в правой части этого экрана. При вводе данных можно пользоваться масштабными множителями, указанными в разд. 3.1.

 По команде Trace/Add  возможно построить семейство характеристик при вариации температуры или других параметров модели. По умолчанию предлагается построить графики характеристик при изменении температуры (рис. 7.21). Однако по команде Plot/X Axis Settings/Trace Variable  можно изменить имя варьируемой переменной. Например, для диодов возможна вариация параметров IS, N, RS, IKF, EG и XTI.





Рис. 7.21. Построение температурных зависимостей
 

Построение модели завершается командой записи обновленных данных в библиотечный файл File/Save Library.

Далее приведем списки вводимых паспортных данных для всех типов компонентов, включенных в программу Parts, и перечень параметров их математических моделей.


Звездочками * в приводимых ниже перечнях отмечены параметры, не оцениваемые в программе Parts; им по умолчанию присваиваются типичные значения (на экране программы Probe в окне Parameters

эти переменные помечены как Fixed).

Диоды. Паспортные данные диода, которые вводит пользователь (тип модели DIODE), и список параметров его математической модели, которые рассчитываются в программе, приведены в табл. 7.9.

Таблица 7.9

Символы данных

 Справочные данные

Параметры модели

(Parameters)

Имя                  Значение

 
Прямая ветвь ВАХ

 
Vfwd, Ifwd

Координаты точек ВАХ диода

IS

10
 А

RS

0,1 Ом

N

1

IKF

0

XTI*

3

EG*

1,11 В

Барьерная емкость

Vrev, Cj

Зависимость барьерной емкости перехода от модуля напряжения обратного смещения

CJO

VJ

1 пФ

0,75 B

M

0,3333

FC*

0,5 B

Сопротивление утечки

Vrev, Irev

Зависимость тока утечки от абсолютной величины напряжения обратного смещения

ISR

NR

100 пA

2

Напряжение стабилизации

Vz

Абсолютная величина напряжения пробоя (стабилизации) при токе Iz

BV

IBV

100 В

100 мкA

Iz

Ток пробоя (стабилизации)

Zz

Дифференциальное сопротивление на участке пробоя в точке (Iz, Vz)

Рассасывание носителей заряда

Trr

Время рассасывания носителей заряда

TT

5 нс

Ifwd

Ток диода в прямом направлении до переключения

Irev

Обратный ток диода после переключения

Rl

Эквивалентное сопротивление нагрузки (включая выходное сопротивление генератора)

Биполярные транзисторы. В табл. 7.10 приведены паспортные данные биполярного транзистора (NPN или PNP TRANSISTOR), которые вводит пользователь, и список параметров его математической модели, которые рассчитываются в программе.



Таблица 7.10

Символы данных

Справочные данные

(Model Spec.)

Параметры модели

(Parameters)

Имя               Значение

Напряжение на p–n-переходе

Vbe

Смещение база–эмиттер в режиме насыщения

IS

 XTI*

10
 А

3

Vce

Смещение коллектор–эмиттер в режиме насыщения

EG*

1,11 B

Ib

Ток базы при заданных Vbe, Vce

 

Ib%

Доля тока коллектора, протекающего через диод коллектор–база с идеальной характеристикой (по умолчанию 80%)

Выходная проводимость

Ic, hoe

Зависимость выходной проводимости при холостом ходе на выходе hoe от тока коллектора Ic

VAF 

100 B

Vce

Смещение коллектор–эмиттер Vce=5 В

Статический коэффициент передачи по току

Ic, hFE

Зависимость статического коэффициента усиления тока в схеме ОЭ в нормальном режиме hFE от тока коллектора Ic

BF

NE

ISE

100

 1,5

0

Измерения проводились при смещении коллектор–эмиттер Vce=1 В

XTB*

NK*

1,5

0,5

Напряжение насыщения коллектор–эмиттер

Ic,  Vce

Зависимость напряжения насыщения коллектор–эмиттер Vce от тока коллектора Ic

BR

NC

ISC

1

2

0

Отношение тока коллектора к току базы в режиме насыщения Ic/Ib=10

IKR

RC

0

0

Барьерная емкость коллектор–база

Vcb, Cobo

Зависимость выходной емкости Cobo в режиме холостого хода на выходе от напряжения обратного смещения коллектор–база Vcb

CJC

VJC

MJC

FC*

2 пФ

0,75 B

0,3333

0,5

Барьерная емкость эмиттер–база

Veb, Cibo

Зависимость входной емкости Cibo в режиме холостого хода на входе от напряжения обратного смещения эмиттер–база Veb

CJE

VJE

MJE

5 пФ

0,75 B

0,3333

Время рассасывания заряда

Ic, ts

Зависимость времени рассасывания ts от тока коллектора Ic. Отношение тока коллектора к току базы в режиме насыщения Ic/Ib=10

TR

10 нс

Площадь усиления

Ic, fT

Зависимость граничной частоты коэффициента передачи тока fT в схеме с ОЭ от тока коллектора Ic. Смещение коллектор–эмиттер Vce=10 В

TF

ITF

XTF

VTF*

1 нс

 1

0

10 В

<


Полевые транзисторы.

Паспортные данные полевого транзистора (NJF или PJF), которые вводит пользователь, и список параметров его математической модели, которые рассчитываются в программе, приведены в табл. 7.11.

Таблица 7.11

Символы данных

Справочные данные

(Model Spec.)

Параметры модели

(Parameters)

Имя                   Значение

Передаточная проводимость

Id, gFS

Зависимость проводимости прямой передачи gFS от тока стока Id

BETA

BETATCE*

0,001

–0,5

RS

1 Ом

RD

1 Oм

Выходная проводимость

Id, gOS

Зависимость выходной проводимости gOS от тока стока Id

LAMBDA

0,01

Проходная характеристика

Vgs, Id

Зависимость тока стока Id от смещения затвор–исток Vgs. Смещение сток–исток Vds=15 В

VTO

VTOTC*

–2,5 В

–0,0025

Проходная емкость

Vgs, Crss

Зависимость проходной емкости Crss от смещения затвор–исток Vgs. Смещение сток–исток Vds=0

CGD

M

PB

FC*

1 пФ

0,3333

1

0,5

Входная емкость

Vgs,  Ciss

Зависимость входной емкости Ciss от смещения затвор–исток Vgs. Смещение сток–исток Vds=15 В

CGS

1 пФ

Ток утечки затвора в пассивном режиме

Vdg, Igss

Зависимость тока утечки затвора Igss от смещения сток–затвор Vdg

IS

10
 А

ISR

10
 А

N

1

NR

2

XTI*

3

Ток утечки затвора в активном режиме

Vdg,  Ig

Зависимость тока утечки затвора Ig от смещения сток–затвор Vdg. Ток стока Id=1 мА

ALPHA

 VK

10


100 В

Уровень внутреннего шума

Freq, en

Зависимость от частоты эквивалентной спектральной плотности напряжения шума, приведенного ко входу. Ток стока Id=1 мА

KF

AF*

10
 

1



Мощные МОП-транзисторы. В табл. 7.12 приведены паспортные данные мощного МОП-транзистора (PWR MOSFET), вводимые пользователем, и список параметров его математической модели третьего уровня (LEVEL=3), которые рассчитываются в программе.



Таблица 7.12

Символы данных

Справочные данные

(Model Spec.)

Параметры модели

(Parameters)

Имя              Значение

Передаточная проводимость

Id, gFS

Зависимость проводимости прямой передачи gFS от тока стока Id

RS

KP

W

 L

20·10


20·10


0,5

2·10


Проходная характеристика

Vgs, Id

Зависимость тока стока Id от смещения затвор–исток Vgs

VTO

3 В

Сопротивление канала в состоянии “включено”

Rds, Id

Зависимость статического сопротивления сток–исток Rds от тока истока Id. Смещение затвор–исток Vds=10 В

 RD

10
 Ом

Сопротивление утечки канала при нулевом смещении на затворе

Idss

Ток стока при нулевом потенциале затвора и напряжении Vds

RDS

1 МОм

Vds

Смещение сток–исток при измерении тока Idss

Объемный заряд в состоянии “включено”

Qgd

Общий заряд области затвора

CGSO

40 пФ

Qgs

Заряд области затвор–исток, необходимый для переключения

CGDO

10 пФ

Vds

Постоянный потенциал истока (по умолчанию 50 В)

Id

Ток стока (по умолчанию 50 А)

Выходная емкость

Vds

Смещение сток–исток при измерениях емкостей

CBD

1 нФ

Coss

Выходная емкость

PB

0,8 В

MJ

0,5

FC*

0,5

Время переключения

tf

Время переключения

RG

5 Ом

Id

Ток стока

Vdd

Постоянный потенциал истока (по умолчанию 20 В)

Zo

Выходное сопротивление генератора импульсного напряжения (по умолчанию 5 Ом)

Ток стока  в инверсном режиме

Vsd, Idr

Зависимость напряжения прямого смещения перехода исток–сток Vsd от обратного тока стока Idr

IS

N

RB

10
 A

1

10
 Ом

Расчет параметров математических моделей отечественных МОП-транзисторов с помощью программы Parts затруднен ввиду того, что в паспортных данных отсутствуют значения зарядов Qg, Qgs.



Операционные усилители. После выбора в начальном меню программы Parts режима OPAMP необходимо по запросам программы  указать тип транзистора входного каскада и наличие внутренней/внешней коррекции (см. рис. 5.1):

Technology  – BJT (биполярный транзистор) или JFET (полевой транзистор);

Input –  NPN или PNP (для биполярного транзистора) и n-channel или  p-channel (для полевого транзистора);

Compensation – Internally (внутренняя) или Externally (внешняя коррекция).

В табл. 7.13 приведены паспортные данные ОУ, которые вводит пользователь, и список параметров его макромодели, которые рассчитываются в программе.

Таблица 7.13

Символы данных

Справочные данные

(Model Spec.)

Параметры модели

(Parameters)

Имя          Значение

Параметры для большого сигнала

+Vpwr

Напряжение источника положительного напряжения (15 В)

VC

VE

2 В

 2 В

–Vpwr

Напряжение источника отрицательного напряжения (–15 В)

+Vout

Максимальное значение выходного напряжения положительной полярности (13 В)

–Vout

Максимальное значение выходного напряжения отрицательной полярности (–13 В)

+SR

Максимальная скорость нарастания выходного напряжения положительной полярности (500·10
 В/с)

–SR

Максимальная скорость нарастания выходного напряжения отрицательной полярности (500·10
 В/с)

Pd

Потребляемая мощность в статическом режиме (50 мВт)

Коэффициент усиления без цепи обратной связи (входной каскад на БТ)

 Cc

Емкость коррекции (30 пФ)

BF   

75

Ib

Входной ток смещения (100 нА)

C2  

30 пФ

Av-dc

Коэффициент усиления постоянного напряжения (200 тыс.)

CEE

GA

0

189·10


f-0db

Частота единичного усиления (1 МГц)

GCM

1,9·10


CMRR

Коэффициент подавления синфазного сигнала (100 тыс.)

IEE

RC

15·10
 

5305

RE

1832

REE 

13810

RP

18160

Коэффициент усиления без цепи обратной связи (входной каскад на ПТ)

Cc

Емкость коррекции (10 пФ)

BETA

789·10
 

Ib

Входной ток смещения (30 пА)

C2

10 пФ

Av-dc

Коэффициент усиления постоянного напряжения (200 тыс.)

CSS

GA

0

63·10


f-0db

Частота единичного усиления (1 МГц)

GCM

63·10
 

CMRR

Коэффициент подавления синфазного сигнала (100 тыс.)

IS

ISS

15·10
 

5·10


RD

15,9

RSS

40·10


RP

18000

Фазочастотная характеристика без цепи обратной связи

Phi

Запас по фазе на частоте единичного усиления, град. (60
)

C1

8,6 пФ

Предельные значения выходных сопротивлений

Ro-dc

Выходное сопротивление на низких частотах (75 Ом)

R01

R02

50 Ом

25 Ом

Ro-ac

Выходное сопротивление на высоких частотах (50 Ом) 

GB

424,4

Ios

Максимальный ток короткого замыкания (20 мА)

<




Замечания. 1. По умолчанию параметрам математической модели присваиваются значения параметров конкретных ОУ. Выше для конкретности приведены параметры модели ОУ  140УД7 (аналог
A741) [9, 38].

2. Частота единичного усиления f-0db  связана с частотой первого полюса f
 соотношением  f-0db=f
· Av-dc . Запас по фазе Phi на частоте единичного усиления определяется отношением частоты единичного усиления к частоте второго полюса f


Phi = 90
 – arctg (f-0db/ f
),

где арктангенс вычисляется в градусах.

3. Для ОУ с внешней коррекцией указывается значение емкости корректирующего конденсатора Cc, для которого приведено значение запаса по фазе Phi и другие параметры ОУ.

4. В справочных данных обычно приводится полное выходное сопротивление R
=Ro-ac + Ro-dc. Его надо разделить на две составляющие, ориентируясь на приближенное соотношение Ro-dc=2Ro-ac.

Компараторы напряжения. После выбора в начальном меню программы Parts режима VOLT. COMPARATOR необходимо ответить на ряд запросов программы:

Input Stage –  NPN, PNP  (тип биполярного транзистора во входном каскаде);

Output Stage Connection –  to –V Supply или to Ground (указывается,  подключен ли транзистор выходного каскада   к источнику отрицательного напряжения или предусмотрен независимый вывод “земли” выходного каскада, как показано на рис. 5.5).

Паспортные данные компараторов напряжения, которые вводит пользователь, и список параметров его макромодели, которые рассчитываются в программе, приведены в табл. 7.14.

Таблица 7.14

Символы данных

Справочные данные

(Model Spec.)

Параметры модели

(Parameters)

Имя              Значение

Переходная характеристика

+Vpwr

Напряжение источника положительного напряжения (5 В)

BF1

BF5

2000

10350

–Vpwr

Напряжение источника отрицательного напряжения (0 В)

RP

VI

505

0

+Vicr

Максимальное значение положительного перепада синфазного напряжения (3,5 В)

–Vicr

Максимальное значение отрицательного перепада синфазного напряжения (0 В)

Ib

Входной ток смещения (25 нА)

Avd

Коэффициент усиления напряжения постоянного тока (200 тыс.)

Rl

Сопротивление нагрузки (10 кОм)

Pd

Потребляемая мощность (50 мВт)

Задержка спада напряжения

Vst

Перепад входного напряжения (0,1 В)

TR3

594 нс

Vod

Перевозбуждение входного напряжения (20 мВ)

td

Длительность задержки (500 нс)

Время переключения

Vst

Перепад входного напряжения (0,1 В)

TF5

7 нс

Vod

Перевозбуждение входного напряжения (20 мВ)

ttr

Длительность фронта нарастания выходного напряжения (100 нс)

Время нарастания напряжения

Vst

Перепад входного напряжения (0,1 В)

TR5

384 нс

Vod

Перевозбуждение входного напряжения (20 мВ)

td

Длительность фронта спада выходного напряжения (500 нс)

<




По умолчанию параметрам математической модели присваиваются значения параметров типовых компараторов каждого типа. Выше для конкретности указаны параметры компаратора 1401СА1 (аналог LM319) [9, 46].

Стабилизатор напряжения. В табл. 7.15 приведены паспортные данные стабилизатора напряжения (VOLT. REFERENCE), которые вводит пользователь, и список параметров его математической модели, которые рассчитываются в программе [85].

Таблица 7.15

Символы данных

Справочные данные

(Model Spec.)

Параметры модели

(Parameters)

Имя            Значение

Динамическое сопротивление

Ir, Rz

Зависимость обратного тока Ir  от динамического сопротивления Rz

NZ

RZ

10


0,5 Ом

Напряжение стабилизации

Vref

Напряжение обратного пробоя

RBV

2,5 кОм

Ir

Обратный ток, при котором измерено напряжение Vref

IRMAX

30 мА

Irmax

Модуль максимального значения тока пробоя

Температурная нестабильность

Temp, Vref

Зависимость напряжения обратного пробоя Vref от температуры

TC1

TC2

10


–7·10


Характеристики режима обратного включения

Vr, Ir

Зависимость обратного напряжения Vr от обратного тока Ir

IREV

NREV

200 мкА

50

Характеристики рабочего режима

Ifwd, Vfwd

Зависимость потребляемого тока Ifwd от напряжения Vfwd

IS

N

RS

IKF

XTI

10


1

0,1 Ом

0

3



Регулятор напряжения. Паспортные данные регулятора напряжения (VOLT. REGULATOR), которые вводит пользователь, и список параметров его математической модели, которые рассчитываются в программе [83], приведены в табл. 7.16.

Таблица 7.16

Символы данных

Справочные данные

(Model Spec.)

Параметры модели

(Parameters)

Имя              Значение

Напряжение стабилизации

Vref

Напряжение стабилизации

VREF

1,25 В

Dropout

Напряжение отпускания

N

2

(Vi–Vo)max

Максимальная разница между входным и выходным напряжением

IOmin

Минимальный выходной ток

Ток установки

Iadj

Ток установки

BETA

50 мкСм

Выходное сопротивление

Zout

Выходное сопротивление на низких частотах

VAF

100 В

Zero

Частота нуля выходного комплексного сопротивления

CPZ

1 мкФ

RR

Неравномерность ослабления пульсаций на низких частотах, в децибелах

Frequency

Частота, на которой измерены Zout и RR

IO

Выходной ток, при котором измерены Zout и RR

Предельные значения  выходного тока

IOmax

Максимальный выходной ток

RB2

200 Ом

Iofb, Vi-Vo

Зависимость тока обратной связи Iofb от разницы между входным и выходным напряжением Vi-Vo

ESC1

ESC2

0,5

–0,1

EFB1

25

EFB2

–1

EB

100

<




Магнитный сердечник. Программа Parts в настоящее время оценивает параметры модели магнитного сердечника уровня LEVEL=2, в которой не учитываются эффекты взаимодействия доменов и частотно-зависимые потери. Наиболее адекватно эта модель описывает ферриты и молибденовые пермаллои. Программа Parts на основании экспериментальных данных оценивает параметры, отражающие физические свойства магнитных материалов. При создании файлов моделей сердечников из одного материала с разной геометрией удобно использовать конструкцию AKO (см. разд. 3.2 ).

Пользователь вводит по точкам кривую намагничивания и указывает значение начальной магнитной проницаемости, на основании чего программа рассчитывает параметры его модели (напряженность магнитного поля H указывается в эрстедах, магнитная индукция B – в гауссах; см. табл. 7.17):

Таблица 7.17

Символы данных

Справочные данные

(Model Spec.)

Параметры модели

(Parameters)

Имя                        Значение

H (Oers.),

B (Gauss)

Координаты кривой намагничивания.

Начальная магнитная проницаемость
=1000

MS

A

C

500·10
 А/м

10 А/м

0,1

K

10

ALPHA

10


GAMMA

0 c


AREA

0,1 см


GAP

0 см

PACK

1

PATH

1 см

LEVEL

2 (не изменяется)

После ввода по точкам кривой гистерезиса и расчета параметров модели магнитного сердечника в окне Parameters

вводят значения  геометрических размеров сердечника AREA, PATH, GAP и PACK.


Программа расчета паразитных эффектов печатных плат Polaris


В Design Center начиная с версии 6.1 имеется возможность моделировать схемы с учетом паразитных эффектов, связанных с неидеальностью конструкции реальной печатной платы: задержками распространения сигналов, паразитными емкостями, индуктивностями и взаимными индуктивностями. В литературе эта проблема называется проверкой целостности сигналов в высокочастотных схемах [29]. Последовательность проектирования  следующая. С помощью Schematics создается принципиальная схема, которая сначала разрабатывается без детального учета паразитных эффектов и моделируется с помощью PSpice. Затем информация о списке соединений схемы передается в собственный редактор печатных плат PCBoards системы Design Center (см. гл. 8) или в виде текстового файла в другие системы проектирования печатных плат  P-CAD, PADS, CADStar или TangoPRO. Применительно к наиболее распространенной системе P-CAD данные передаются в виде текстового файла с расширением имени .alt [19]. Информация о топологии разработанной печатной платы передается обратно в Design Center, применительно к P-CAD в виде текстового файла в формате PDIF (файлы имеют расширение имени .pdf). После этого программа проверки целостности сигналов Polaris  представляет указанные пользователем цепи в виде линий передачи, аппроксимируя их по методу конечных элементов,  включает  паразитные элементы в   первоначальную схему и передает ее в PSpice для моделирования. В заключение с помощью программы Probe на одном экране просматриваются результаты моделирования без учета и с учетом паразитных эффектов.

В программе Polaris рассчитываются следующие параметры линий передач, образованных печатными проводниками:

– волновые сопротивления Z0 и погонные емкости  и индуктивности;

– задержки распространения сигнала Tpd;

– паразитные емкости между проводниками и подложкой C, емкости стеков контактных площадок и переходных отверстий C_via, омические сопротивления проводников R, индуктивности проводников L и коэффициенты связи между параллельными проводниками  Coupling.


Расчет линий передачи выполняется приближенно при выполнении следующих условий:

– заряды всех конечных элементов считаются поверхностными;

– все электрические поля в линиях передачи считаются поперечными;

– коэффициенты связи между проводниками должны быть малыми;

– потери в линиях незначительны.

Программа Polaris имеет два режима работы. В первом, наиболее естественном (он называется Native Mode), печатная плата разрабатывается на основании принципиальной схемы, созданной в программе Schematics. На экране Schematics курсором выбираются цепи, для которых нужно учесть паразитные эффекты, и затем проводится моделирование. Во втором режиме (он называется внешним – External Mode) принципиальная схема создается в графическом редакторе другой системы, в которой разрабатывается печатная плата (или в текстовом виде просто вводится список ее соединений), и поэтому на экране Schematics схему просмотреть нельзя.  Цепи, для которых учитываются паразитные эффекты, выбираются по именам,  программа Polaris на основании содержащейся в базе данных печатной платы составляет задание на моделирование и передает его PSpice.

Приведем примерную последовательность действий при работе с Polaris в режиме Native.

1. Подготовительные операции. С помощью Schematics создается принципиальная схема и на ней маркером POLARIS по команде Mark Advanced  меню Markers помечают цепи, для которых нужно рассчитать паразитные эффекты. Далее в меню Tools по команде Configure Layout Editor выбирается тип редактора печатных плат. Schematics создает файлы списка соединений в формате 9 графических редакторов, однако Polaris поддерживает только четыре из них:

PCBOARDS – собственный редактор PCBoards системы Design Center;

CADSTAR – редактор CADStar;

PADS – редактор системы PADS;

PCAD – редактор PCB Editor (PC-CARDS) системы P-CAD (любой версии);

TANGO – редактор TangoPRO.

В меню команды Configure Layout Editor в графе Netlist File Extension система указывает расширение текстового файла с перечнем компонентов схемы и таблицей их соединений, в графе ECO File Extension – расширение имени файла обратных ссылок для внесения в принципиальную схему изменений, выполненных при разработке печатной платы.


В командной строке Layout Editor Command Line пользователь вводит полное имя команды выбранного графического редактора печатных плат (по умолчанию предлагается загрузить PCBoards).

 В меню Tools по команде Annotate производят упаковку схемы и назначение позиционных обозначений компонентов. При этом надо убедиться, что включены опции  Package and Assign Reference Designators и All Exept User-Assigned (рис. 2.15).

Файл с перечнем компонентов схемы и таблицей их соединений создается в меню Tools по команде  Create Layout Netlist. Для графического редактора PCBoards  он имеет расширение имени  .nlf, для P-CAD – .alt. 

2. Разработка печатной платы. Проектирование печатных плат в системе Design Center изложено в гл. 8. Здесь же рассмотрим принцип взаимодействия с наиболее популярной системой P-CAD [19].  Программа Netlist Conversion (PC-NLT) системы P-CAD на основании файла соединений, имеющего  расширение имени .alt, и файла контура печатной платы создает файл упакованной базы данных печатной платы. На эту плату автоматически наносятся изображения корпусов всех компонентов и указываются их электрические связи с помощью “резиновых” нитей. После размещения компонентов и выполнения трассировки соединений информация о плате передается обратно в Design Center с помощью программы PDIF File Writer (PDIFOUT). В меню PDIF Output программы PDIF File Writer необходимо включить опции Indented

(структурирование данных в выходном файле), Scan Reserved Characters (вставка знака “\” перед каждым найденным во входном файле зарезервированным символом) и List Pin Names in Component (включение в выходной файл имен выводов компонентов).  В графе Input Database Filename указывается имя файла базы данных печатной платы (расширение имени .pcb), в графе Output PDIF Filename – имя текстового выходного файла (расширение имени .pdf). 

Под управлением DOS программа PDIF File Writer запускается из командной строки

pdifout  -i  -e  -p  <имя файла базы данных> [<имя выходного файла>]



3. Запуск программы Polaris. Программа Polaris вызывается из управляющей оболочки Schematics по команде Run Polaris в меню Tools.  На основной панели диалога Polaris (рис. 7.22) помечается опция Native и затем нажимается кнопка Polaris Setup

для настройки конфигурации (рис. 7.23).





Рис. 7.22. Основная панель  диалога программы Polaris




Рис. 7.23. Панель настройки конфигурации Polaris

На самой верхней строке Minimum transmission line length задается минимальная длина параллельных проводников, начиная с которой они представляются в виде линий передачи, и рассчитываются их параметры.

На строке Routed PCB file указывается имя текстового файла печатной платы (для редактора PCBoards имеет расширение имени .si, для P-CAD – .pdf, для PADS - .asc). Проще всего имя файла выбрать из списка, открывающегося после нажатия клавиши Browse PCB files.

На строке Board Stack указывают имя файла стека контактных площадок и выбирают его из списка, нажав кнопку Browse board stacks.  По команде Create board stack вызывается текстовый редактор для создания этого файла. В  Design Center принято следующее соглашение о структуре имен файлов стеков контактных площадок:

nnLccmmS.bst

Здесь nn – два символа для обозначения общего количества слоев печатной платы; cc – код системы разработки печатных плат (PD – PADS, PC – P-CAD, CS – CADStar, TN – TangoPRO); mm – количество сигнальных слоев (цепи питания и “земли” могут прокладываться на отдельных слоях). Например, файл стека контактных площадок двухслойной печатной платы с одним сигнальным слоем, разработанной в системе P-CAD, имеет имя 2lpd1s.bst. Приведем текст этого файла:

.UNITS mils                   ; Система единиц

.THRU_VIA .99            ; Емкость переходного отверстия, пФ

.STRUCTURE               ; Тип линии передачи (stripline – полосковая,

microstrip                        ; microstrip – микрополосковая)

.DIELECTRIC               ; Спецификация диэлектрика



T=62 ER=4.6                  ; T – толщина слоя; ER – относительная диэлектрическая

                                        ; проницаемость

air                                    ; Воздушная среда, окружающая печатную плату

.ENDS

.SIGNAL                       ; Спецификация сигнального слоя

L=23 H=62 T=1.2          ; L – номер слоя (в соответствии с принятой в файле PDIF

                                        ; нумерацией)

                                        ; H – расстояние от мелаллической поверхности

                                        ;  T – толщина металлизации

.ENDS

.ENDS

 Информация о нумерации  слоев, принятая в файле текстового описания печатной платы в формате PDIF, извлекается с помощью транслятора, вызываемого в среде DOS с помощью командной строки

poltran.exe  <имя файла.pdf> /lw

Файл стека контактных площадок имеет следующие секции:

.UNITS

mils  |  cm

.STRUCTURE

microstrip  |  stripline

[спецификация диэлектрика]*

[спецификация сигнального слоя]*

.ENDS

.DIELECTRIC

[спецификация диэлектрика]*  |  air

.ENDS

.SIGNAL

[спецификация сигнального слоя]*

.ENDS

.WID_ADJ

<уточненное значение ширины проводника>

.THRU_VIA

<емкость переходного отверстия, пФ>

.BURIED_VIA

<номер 1-го слоя> <номер 2-го слоя> <емкость межслойного переходного отверстия, пФ>

.RESISTIVITY

<удельное сопротивление проводника, Ом/см>

На панели диалога Crosstalk setup (рис. 7.24) выбирается один из возможных режимов:

Marked, coupled nets (VICTIM)

–  расчет паразитных эффектов отдельно для каждого из указанных сигналов и затем поиск связанных с ними линий передачи;

Marked nets only (LIST) – расчет паразитных эффектов для указанных сигналов и определение связей между ними;

All Nets – расчет паразитных эффектов для всех цепей печатной платы.





Рис. 7.24. Настройка конфигурации расчета перекрестных искажений

<


Здесь же указывается максимальное количество соседних проводников, для которых рассчитываются коэффициенты связи (Maximum number of Neighbors), максимальный радиус поиска связанных проводников (Maximum Search Radius)

и минимальная длина параллельных проводников, для которых рассчитывается коэффициент связи (Minimum Parallel Run Length).

Список выбранных сигналов просматривается на панели Extract signals (рис. 7.25).





Рис. 7.25. Список выбранных сигналов

Расчет паразитных эффектов выполняется после нажатия кнопки Extract (см. рис. 7.22). Их результаты просматриваются после выбора кнопки Select Signals. Каждой цепи посвящена одна строка таблицы  (рис. 7.26). Приведены значения коэффициента связи (Coupling), длины цепи (Length), общей индуктивности (L), сопротивления (R), емкости (C, без учета емкости переходных отверстий), суммарной емкости переходных отверстий (C_via), характеристического сопротивления (Z0) и времени распространения сигнала (Tpd). Список отсортирован в порядке убывания коэффициента связи. Имеется возможность проводить моделирование либо для всех имеющихся в этом списке цепей (нажав кнопку Select All), либо для отдельных помеченных курсором цепей (Select singly), либо связанных цепей (Select coupled).





Рис. 7.26. Результаты расчета паразитных эффектов для выбранных сигналов

 





Рис. 7.27. Загрузка данных в программу Probe

4. Выполнение моделирования. Моделирование цепи с учетом и без учета паразитных параметров производится после нажатия клавиш Simulate w/ Parasitics и Simulate w/o Parasitics в основном меню Polaris (см. рис. 7.22).  Программа Probe вызывается по окончании моделирования автоматически, если включены опции Auto. При автономной загрузке Probe предоставляется возможность загрузки одного из вариантов или обоих сразу, как показано на рис. 7.27.

Проиллюстрируем результаты расчетов на примере схемы, изображенной на рис. 7.28. На ней имеются две связанные линии V и D, расположенные параллельно.


Длина параллельного участка составляет 30 см, расстояние между линиями 0,5 мм, ширина проводников 0,25 мм. Одна линия возбуждается импульсным генератором, к другой с обоих концов подключены согласованные нагрузки. В отсутствие паразитной связи между линиями напряжение цепи V равно нулю, задержка распространения сигнала по цепи D не учитывается. Результаты моделирования с учетом и без учета паразитных параметров реальной печатной платы приведены на рис. 7.29. Обратим внимание, что при выводе этих результатов нельзя ссылаться на имена цепей (ведь каждый проводник рассматривается теперь как линия передачи), а  нужно указывать имена выводов компонентов V(Rterm2:1), V(Rser:1) и т. п.





Рис. 7.28. Пример связанных цепей





Рис. 7.29. Результаты моделирования с учетом паразитных эффектов

 

Глава 8. ПРОЕКТИРОВАНИЕ ПЕЧАТНЫХ ПЛАТ

8.1. Редактор печатных плат PCBoards


Редактирование символов компонентов


Режим редактирования символов (УГО компонентов) активизируется из режима редактирования схем одним из двух способов.

1 способ.

На редактируемой схеме выбирается компонент и в меню команда Edit/Symbol. При этом программа предлагает сохранить схему с внесенными изменениями, после чего в новом окне выводится символ компонента, доступный для редактирования (при этом в верхней строке экрана изменяется перечень команд). Режим редактирования символов завершается нажатием левой кнопки на строке заголовка символа или выбором команды File/Close, после чего восстанавливается режим редактирования схем.

2 способ.

Выбор команды File/Edit Library включает режим редактирования символов, после чего по команде File/New создается новая библиотека символов или по команде File/Open открывается существующая.

Редактирование символов компонентов выполняется с помощью ряда команд, сгруппированных в следующих пунктах горизонтального меню:

File – создание и редактирование библиотек символов компонентов, их вывод на принтер или плоттер;

Edit – редактирование символов;

Graphics – создание графического изображения символа;

Part – создание/редактирование описания символа;

Packaging – создание/редактирование информации об упаковке символа компонента в его корпус;

View – изменение масштаба изображения символа компонента на экране;

Options – установка параметров чертежа на дисплее и активизация режимов автоматической нумерации выводов компонентов и простановки их имен;

Window – работа с окнами;

Help – вызов средств помощи.

Приведем сначала краткое описание команд редактирования символов компонентов.

Команда 

 Назначение

 
 
Меню File

 
 
New...

 Создание нового библиотечного файла. На экране появляется прямоугольник, внутри которого создается символ компонента, и строка заголовка, свидетельствующая о том, что открывается новый файл (сюда заносится имя библиотечного файла, если его нужно сохранить)

 
Open...

Открытие существующей библиотеки символов для редактирования

 
Close

Закрытие текущего библиотечного файла

 
Save  (Ctrl+S)

Запись обновленной библиотеки символов в файл с текущим именем. Старая версия библиотеки записывается в каталог BACKUP

 
Save As...

Запись обновленной библиотеки символов в файл с новым именем

 
Print

Вывод твердой копии символа выбранного компонента текущей библиотеки или всего содержимого библиотеки

 
Printer Select...

Установка параметров принтера: разрешающая способность, размер бумаги, горизонтальное (Landscape) или вертикальное (Portrait) расположение изображения на бумаге

 
Current Errors (F10)

Вывод списка ошибок для просмотра, печати или записи в файл

 
Exit

Выход из графического редактора

 
 
Меню Edit

 
 
Undelete (Ctrl+U)

Восстановление последнего удаленного объекта(ов)

 
Cut (Ctrl+X)

Удаление с экрана выбранного объекта, который заносится в буфер для возможного переноса на экран по команде Paste

 
Copy (Ctrl+C)

Занесение в буфер изображения выбранного объекта для его копирования по команде Paste

 
Paste (Ctrl+V)

Нанесение на чертеж последнего объекта, занесенного в буфер по командам Cut или Copy. Место расположения объекта отмечается курсором щелчком левой кнопки мыши

 
Change (Ctrl+H)

Разрешение редактирования выбранного вывода, текста или атрибутов, ассоциированных с символом компонента

 
Pin Type (Ctrl+T)

Изменение  изображения вывода, назначенного по умолчанию:

 
Normal

нормальный сигнал

 
Bubble

сигнал с инверсией

 
Clock

вход тактовых импульсов

 
Inverted Clock

инверсный вход тактовых импульсов

 
ANSI Low Activ In

вход в стандарте ANSI

 
ANSI Low Activ Out

выход в стандарте ANSI

 
ANSI Inverted Clock

инверсный вход тактовых импульсов в стандарте ANSI

 
Zero Length

вывод нулевой длины

 
Model...

Редактирование директивы .MODEL или .SUBCKT текущего символа. По умолчанию все изменения заносятся в файл user.lib

 
Stimulus

Вызов редактора StmEd, если редактируется символ внешнего воздействия

 
Push

Переход в режим редактирования схемы замещения иерархического символа. Если такой схемы замещения нет, то создается пустой экран схемного редактора, на котором размещены порты интерфейса; их имена  проставляются в соответствии с именами выводов символа

 
Set Schematic...

Вывод перечня схем, ассоциированных с данным символом, для внесения в него изменений

 
Check Schematic

Проверка взаимного соответствия глобальных и интерфейсных портов и глобальных цепей, к которым подключены скрытые выводы, иерархического символа и его схемы замещения

 
Rotate (Ctrl+R)

Вращение выбранного объекта на 90
 против часовой стрелки

 
Flip (Ctrl+F)

Зеркальное отображение объекта

 
Align Horizontal

Выравнивание точек привязки (origin) всех выбранных объектов (линий, дуг, текста и т. п.)  по горизонтали. Объекты выравниваются по самому левому  объекту

 
Align Vertical

Выравнивание точек привязки (origin) всех выбранных объектов (линий, дуг, текста и т. п.) по вертикали. Объекты выравниваются по самому верхнему объекту

 
 

 
Меню Graphics

 
 

 
Arc

Рисование дуги. Нажатием левой кнопки мыши отмечаются концы отрезка, на котором строится дуга; перемещением курсора (в форме карандаша) устанавливается необходимый размер дуги, которая фиксируется одинарным нажатием левой кнопки

 
Box

Рисование прямоугольника. Нажатием левой кнопки отмечаются его противоположные углы

 
Circle

Рисование окружности. Левой кнопкой отмечаются ее центр и любая точка на окружности

 
Line

Рисование линии. Левой кнопкой отмечаются начало линии и точки излома. Построение завершается двукратным нажатием левой кнопки

 
Pin

Добавление вывода к символу компонента и разрешение редактирования его имени и номера, а также электрического типа вывода, используемого в режиме поиска ошибок схемы ERC:

 
 

don't care

не проверяется

 

input

вход

 

output

выход

 

bidir

двунаправленный

 

highZ

высокого импеданса

 

open collec

открытый коллектор

 

power

подключения источника питания

Text

Добавление текстовой строки

 
Bbox

Изменение размеров прямоугольного окна, в котором размещен символ компонента

 
Origin

Изменение положения начала координат

 
Repeat  ([Пробел])

Повторение последней команды

 
 
Меню Part

 
 
New...

Разрешение на создание в текущей библиотеке символов нового компонента

 
Copy...

Копирование символа компонента из другой библиотеки и придание ему статуса текущего компонента (его имя помещается на верхней строке меню)

 
Get...  (Ctrl+G)

Выбор компонента из текущей библиотеки для редактирования его символа, которое отображается в окне редактора

 
Remove...

Удаление компонента из текущей библиотеки

 
Save to Library...

Запись в текущую библиотеку символов выбранного компонента

 
Attributes...

Разрешение ввода новых атрибутов компонента или редактирование существующих. Кроме того, можно изменить любые атрибуты системы

 
Definition  (Ctrl+D)

Разрешение редактирования определений компонента: описания компонента – Description, его имени - Part name, списка подобных параметров – Alias list, имени подобного компонента – AKO (применяется для сокращения объема библиотеки символов) и типа символа (Type). Параметр Type принимает значения:

 
component

стандартные компоненты типа R, C ... или иерархические символы; должны иметь атрибуты REFDES, TEMPLATE, PART и MODEL

 
annotation

неэлектрические символы

 
hier port

соединения между разными уровнями иерархии; имеют атрибут LEVEL

 
global port

глобальные узлы, соединяющиеся друг с другом на всех страницах схемы (например, соединение с “землей”); имеют атрибут LEVEL

 
offpage

соединители цепей на одной или разных страницах; имеют атрибут LEVEL

 
title block

угловой штамп чертежа

 
border

рамка чертежа

 
marker

маркер для вывода графиков

 
viewpoint

отображение напряжения узла цепи в рабочей точке

 
current probe

отображение тока вывода в рабочей точке

 
optimizer parameter

задание значений оптимизируемых параметров

 
stimulus

указание узла, к которому подключается источник сигналов, созданный с помощью StmEd

 
simulation control

задание команд типа .IC, .NODESET

 
Pin List (Ctrl+P)

Разрешение редактирования всех выводов компонента

 
Get Symbol Graphics

Перенос символа существующего компонента в качестве символа текущего компонента

 
Export

Запись графического и текстового описания компонента из текущей библиотеки в текстовый файл .sym для последующего переноса символа компонента из одной библиотеки символов в другую

 
Inport

Чтение текстового файла и придание ему статуса символа текущего компонента с последующей возможностью включения в текущую библиотеку символов

 
 
Меню Packaging

 
 
New...

Создание новой упаковочной информации: имя прототипа –  ACO, количество вентилей в корпусе – # of Gates, количество типов вентилей – # of Gate Types, тип корпуса (footprint) –  Package Types, список имен и номеров выводов – Pin Assignments, список логически эквивалентных выводов – Pin Swaps

 
Copy...

Копирование упаковочной информации

 
Get...

Редактирование упаковочной информации в текущей библиотеке Package Library

 
Remove...

Удаление упаковочной информации из текущей библиотеки

 
Edit...  (Ctrl+E)

Редактирование упаковочной информации текущего символа

 
Configure Package Types...

Просмотр списка типов корпусов (DIP, SOIC, SOJ, TO, TSOP, PQFP, DISCRETE, LCC) и редактирование перечня корпусов каждого типа

 
Export

Запись упаковочной информации одного или более символов в текстовый файл .pkg

 
Import

Чтение упаковочной информации из текстового файла .pkg

 
 
Меню View

 
 
Fit  (Ctrl+N)

Изменение масштаба изображения так, чтобы на полном экране разместились все имеющиеся на схеме компоненты, проводники и соединители

 
In (Ctrl+I)

Увеличение масштаба изображения (центр поля зрения указывается курсором). Степень увеличения назначается с помощью параметра ZOOMFACTOR в файле msim.ini

 
Out  (Ctrl+O)

Уменьшение масштаба изображения (центр поля зрения указывается курсором)

 
Area  (Ctrl+A)

Вывод на весь экран окаймленной части изображения

 
Previous

Возвращение к предыдущему масштабу изображения

 
Entire Symbole

Вывод на экран полного изображения символа

 
Redraw  (Ctrl+L)

Перечерчивание экрана

 
Pan-New Center

Расположение символа симметрично относительно точки расположения курсора без изменения масштаба

 
 
Меню Options

 
 
 Display Options

Установка следующих опций дисплея:

 
 

Grid On

включение сетки

 
 

Snap to Grid

автоматическое перемещение объекта для привязки к узлам сетки при его размещении вне сетки чертежа

 
 

Stay on Grid

привязка объектов к узлам сетки

 
 

Status Line

вывод строки состояний

 
 

Cursor X and Y

вывод текущих координат курсора в строке состояний

 
 

Grid Spacing

шаг сетки

 
 

Gravity

радиус области захвата

 
 

Text Stay on Grid

привязка текста к узлам сетки

 
 

Spacing

расстояние между повторяющимися объектами

 
 

Toolbar

включение/выключение строки инструментов с изображением пиктограмм

 
Auto-Repeat

Автоматическое размещение на экране одного или нескольких объектов, аналогичных предыдущему, на заданном расстоянии по осям X и Y (после двойного щелчка мышью)

 
Set Display Level...

Разрешение размещения на экрана и/или твердой копии текста и других параметров компонента (см. их перечень в разд. 2.2)

 
Pan & Zoom

Изменение коэффициентов масштабирования

 
 
Меню Window

 
 
New Schematic Window

Открытие нового окна, на котором размещена текущая схема для одновременного просмотра разных фрагментов одной и той же схемы большого размера

 
Close

Закрытие текущего окна

 
Arrange

Упорядочивание размещения открытых окон

 
Current Window List

Список открытых окон

 
 
Меню Help

 
 
Index

Вывод списка разделов встроенной инструкции

 
Keyboard

Вывод списка назначений функциональных клавиш и комбинаций клавиш

 
Menu Commands

Вывод инструкции о всех командах

 
Procedures

Вывод правил настройки конфигурации

 
Using Help

Вывод кратких указаний по работе со встроенной инструкцией

 
About...

Вывод номера версии программы и ее регистрационного номера

 
<


Рассмотрим подробнее методику создания нового символа компонента.

1. Сначала из режима редактирования схем по команде File/Edit Library переходят в режим редактирования символов, о чем свидетельствует изменение перечня команд в горизонтальном меню, и выбирают команду установки параметров Options/Display Options (рис. 2.8). На открывшейся панели задают шаг сетки и другие параметры. Обратим внимание, что в режиме редактирования символов устанавливается та же система единиц, что принята в режиме редактирования текущей схемы,  поэтому для ее изменения нужно вернуться обратно в этот режим и выполнить команду Options/Page Size. Для  создания нового символа выбирается команда Part/New и на экране появляется панель диалога для описания символа (рис. 2.9). Эта же панель активизируется по команде Part/Definition

для редактирования следующей информации о новом или существующем символе:





 

Рис. 2.8. Экран редактора Schematics в режиме редактирования символов

Description – текстовое описание символа (например, резистор, диод и т. п., к сожалению, только по-английски), которое просматривается при выборе символов из библиотек;

Part Name – имя компонента, под которым он занесен в библиотеку символов;

Alias List – список псевдонимов символа; при размещении символа на схеме можно равноправно указывать как основное имя (Part Name), так и любой из псевдонимов (Alias);

AKO Name – имя прототипа, т. е. компонента, графика которого, выводы и все атрибуты переносятся для построения нового символа. При этом в текущем компоненте можно редактировать и добавлять новые атрибуты и изменять текстовое описание, графику можно изменять только у прототипа (символ компонента и его прототип должны находиться в одной и той же библиотеке);

Type – тип компонента, принимающий значения component, annotation, hier port, global port, offpage, title block, border, marker, viewpoint, current probe, optimizer parameter, simulation control.

Для ввода текстовых переменных курсором выбирается соответствующее поле редактирования (см.


рис. 2.9) и на клавиатуре набирается текст. При этом для внесения имени псевдонима в список курсором указывается командная кнопка Add. Работа в диалоговом окне завершается выбором OK.





Рис. 2.9. Панель описания символа

2. Графика символа компонента создается по командам Graphics внутри прямоугольника, ограниченного пунктиром (рис. 2.10). По окончании построения графики символа по команде Graphics/Bbox изменяют его размеры, с тем чтобы внутри контура прямоугольника находились все выводы компонента. Контур элемента вычерчивается по командам Arc, Box, Circle и Line, правила работы с которыми описаны выше. Пояснительные надписи наносятся по команде Text. 

3. Выводы компонента изображаются по команде Graphics/Pin. На экране появляется изображение вывода, помеченное крестиком, и линия вывода, которые перемещаются вместе с курсором. Прежде чем нажатием левой кнопки зафиксировать расположение вывода, можно “горячими” клавишами Ctrl+F, Ctrl+R и Ctrl+T зеркально отобразить линию вывода (Flip), повернуть ее на 90
  (Rotate) и изменить тип вывода (Pin Type). Имеются следующие типы выводов:

    Normal – нормальный сигнал;

    Bubble – сигнал с инверсией;

    Clock – вход тактовых импульсов;

    Invert Clock – инверсный вход тактовых импульсов;

    ANSI Low Active In – вход в стандарте ANSI;

    ANSI Low Active Out – выход в стандарте ANSI;

    ANSI Invert Clock – инверсный вход тактовых импульсов в стандарте ANSI;

    Zero Length – вывод нулевой длины.

После фиксации вывода редактор предлагает разместить следующий вывод. Этот режим заканчивается двукратным нажатием левой кнопки.

В заключение по команде Graphics/Origin

курсором указывается положение начала координат на чертеже символа, которое отмечается квадратиком (см. рис. 2.10). К нему привязан курсор при размещении символа на схеме.





Рис. 2.10. Символ операционного усилителя

При выполнении команды Graphics/Pin выводы нумеруются как 1, 2 и т. д. в порядке их подключения к символу и им присваиваются имена pin1, pin2 и т.


д.  Изменения номеров и/или имен выводов производятся в меню команды Part/Pin List (рис. 2.11). В списке имен выводов, помещенном в правом верхнем углу меню, выбирается имя редактируемого вывода, и оно переносится в окно Pin Name. После изменения имени вывода нужно включить/выключить опцию Display Name, чтобы это имя было видно/не видно на схеме.  Одновременно редактируется тип вывода и его ориентация.  На панели атрибутов вывода (Pin Attributes) изменяется номер вывода и указывается, что нужно делать, если на схеме к данному выводу не подключена ни одна цепь (панель If unconnected). Возможны следующие варианты:

Error – выводится сообщение об ошибке (список соединений не составляется);

RtoGND – вывод подключается к “земле” через резистор с большим сопротивлением;





Рис. 2.11. Редактирование списка выводов символа

UniqueNet – создается специальный узел для подключения к нему маркера программы Probe.

4. После нанесения на чертеж всех выводов компонента и их атрибутов может возникнуть необходимость их редактирования. Для задания типа вывода его помечают одинарным нажатием левой кнопки мыши и затем по команде Edit/Pin Type (Ctrl+T) назначают тип вывода (одинарное выполнение этой команды переключает тип вывода на одну позицию в списке типов). Тип вывода, а также все его остальные атрибуты вводятся в панели диалога (рис. 2.12), которая распахивается после двукратного нажатия левой кнопки при расположении курсора на выбранном выводе (аналогично команде Edit/Change). В нем редактируются следующие параметры:

Pin Name – имя вывода;

Type – тип графического изображения вывода (т. е. указателя вывода);

Hidden – признак скрытого вывода, который не отображается на схеме (например, на схемах цифровых устройств не принято изображать цепи подключения питания и “земли”, для операционных усилителей не всегда указывают подключение цепей питания), но необходим для моделирования (крестик слева от опции Hidden свидетельствует о ее активизации);

Net – имя проводника, к которому на схеме должен быть подключен скрытый вывод (на рис. 2.12 показано, что скрытый вывод +U подключения источника питания микросхемы на рис. 2.10 должен быть соединен с цепью, имеющей на схеме имя $G_+15V);



Display Name – вывод на чертеж схемы имен выводов;

Size, Orient, Hjust, Vjust – размер, ориентация, горизонтальная и вертикальная привязка имен выводов;

Pin – порядковый номер вывода;

ERC – электрический тип вывода, используемый только при выполнении команды поиска ошибок схемы Electrical Rule Check, принимающий значения:

    don't care – не проверяется;

    input – вход;

    output – выход;





Рис. 2.12. Редактирование отдельного вывода

    bidir – двунаправленный вывод;

    highZ – высокого импеданса;

    open collec – открытый коллектор;

    power – подключение источника питания.

 Из рассматриваемой панели выбором командной кнопки Edit Attributes переходят в панель диалога для редактирования атрибутов выводов.

Просмотр имен всех выводов и при необходимости их редактирование производятся по команде Part/Pin List (Ctrl+P), панель диалога которой изображена на рис. 2.11.

5. На заключительном этапе создания  символа компонента редактируют введенные ранее и дописывают его новые атрибуты. Это производится одним из двух способов.

1 способ. По команде Part/Attributes

возможно как редактирование всех существующих атрибутов символа, так и определение новых, если они не конфликтуют с ними, с помощью панели диалога редактирования атрибутов (рис. 2.13).





Рис. 2.13. Атрибуты компонента

2 способ. Курсор устанавливается на редактируемый атрибут компонента, и два раза нажимается левая кнопка мыши. В результате управление передается в панель диалога для изменения этого атрибута (рис. 2.14).

В связи с тем что способ редактирования атрибутов в различных панелях диалога одинаков, обсудим работу в изображенной на рис. 2.13 панели с наиболее полной информацией. В этой панели имеются следующие поля:

Name – задание имени атрибута (если атрибут с введенным именем существует, то можно отредактировать его значение);

Value – значение атрибута;

What to Display (что выводить на экран):

                Value only – только значение атрибута;

                Name only – только имя атрибута;



                Both name and value – имя и значение атрибута;

                Both name and value only if value define – имя и значение атрибута, если его                                 значение определено;

                None – ничего;

Display Characteristics (спецификация параметров текста атрибута):

                Layer – задание слоя, на котором размещается имя и/или значение атрибута (видимость на экране информации, размещенной в разных слоях, устанавливается по команде Options/Set Display Level);

                Orient – ориентация текста атрибута;

                Hjust –  привязка текста по горизонтали (левая, по центру, правая);

                Vjust – привязка текста по вертикали (нижняя, по центру, верхняя);

                Size – масштаб изображения  текста в процентах.

Changeable in schematic – разрешение изменять значение атрибута в режиме редактирования схем;

Keep relative orientation – вращение атрибута вместе с символом.





Рис. 2.14. Изменение отдельного атрибута компонента

Обсудим, как редактируются атрибуты. В поле списка на правой стороне панели диалога (рис. 2.13) приводится перечень всех атрибутов компонента. Для ввода нового атрибута курсором отмечается первая свободная строка в поле списка, а для редактирования существующего – строка, где он расположен. В результате в верхнем поле редактирования (Name) появляется имя атрибута, а во втором (Value) – его значение, которые редактируются как обычные текстовые переменные. Результаты редактирования сохраняются после выбора командной кнопки Save Attr (отмена Del Attr). В графическом редакторе Schematics имеются атрибуты со строго определенными именами:

COMPONENT – имя упаковочной информации компонента. Этот атрибут не нужен, если имя упаковочной информации совпадает с именем символа компонента PART;

GATE – имя секции компонента A, B, C, D и т. д. Не указывается, если компонент состоит из одной секции. При размещении символов на схеме всем им присваивается имя первой секции A, добавляемой к позиционному обозначению, например U1A, U2A.  Автоматическое распределение секций по корпусам компонентов производится по команде Tools/Annotate. Изменение имен секций выполняется вручную двойным щелчком по атрибуту REFDES или по команде Edit/Attribute;



GATETYPE – тип секции. Применяется, когда компонент состоит из секций разных типов. Например, ИС 533ТР2 состоит из четырех триггеров, два из которых имеют дополнительный вход установки. Секции каждого типа имеют отдельные символы с разными атрибутами GATETYPE, чтобы правильно назначить номера выводов различных секций;

MODEL – имя модели компонента (должно согласовываться с именами моделей в директивах .MODEL и .SUBCKT, размещаемых в библиотечных файлах .lib). Обычно атрибут MODEL помечен звездочкой в списке атрибутов, что означает невозможность его изменения на схеме. Для изменения имени модели или создания ее копии применяется команда Edit/Model;

PART – имя символа компонента, под которым он заносится в библиотеку символов;

PKGREF – позиционное обозначение корпуса компонента.  Если корпус состоит из четырех секций A, B, C и D, то все эти секции имеют один и тот же атрибут PKGREF, например U1, и разные атрибуты REFDES  U1A, ..., U1D. Атрибут REFDES образован слиянием атрибутов PKGREF и GATE;

PKGTYPE – тип корпуса компонента, например DIP14, DIP8, SOIC. Если при упаковке компонента указан только один тип корпуса,  то атрибуту PKGTYPE это значение присваивается автоматически. Редактирование его возможно по команде Edit/Attributes или при выполнении команды Tools/Annotate;

REFDES – префикс позиционного обозначения, определяющий для программы PSpice тип компонента (например, R – резистор, D – диод и т. п.);

SIMULATIONONLY –  наличие этого атрибута означает, что данный символ используется только для моделирования, включается в список соединений, но не размещается на печатной плате. К таким символам относятся источники сигналов из библиотеки source.slb, типовые компоненты из библиотеки breakout.slb и специальные символы из библиотеки special.slb;

TEMPLATE – шаблон для назначения соответствий графических обозначений выводов компонентов с их реальным физическим смыслом, что необходимо для правильного составления списка соединений схемы (например, для транзистора необходимо указать, какие выводы на его графическом обозначении соответствуют коллектору, базе и эмиттеру) и задания параметров компонента (имя модели и др.);



IPIN[<имя скрытого вывода>] – имя цепи схемы, к которой подключается скрытый вывод.

Цифровые интегральные схемы имеют специфические атрибуты (см. гл. 6):

IO_LEVEL –  тип интерфейса, вставляемого автоматически между аналоговыми и цифровыми компонентами;

MNTYMXDLY – назначение значений задержек распространения сигналов (по умолчанию, минимальное, типичное и максимальное).

Кроме того, пользователь имеет возможность задать любые атрибуты по формату

<имя атрибута>=<значение>

Допускается рекурсия атрибутов.

6. Обсудим синтаксис шаблона TEMPLATE. В задании на моделирование для программы PSpice описание каждого компонента занимает одну строку, в ней в определенном порядке перечисляются имена цепей, к которым подключаются выводы компонента. В частности, выводы биполярного транзистора должны быть перечислены в порядке коллектор–база–эмиттер.

Пусть, например, символ транзистора KT315A имеет выводы с именами B (база), C (коллектор), E (эмиттер) и атрибуты MODEL =KT315A, REFDES=Q?. Тогда его атрибут TEMPLATE должен иметь вид:

TEMPLATE=Q^@REFDES %C %B %E @MODEL

Если на принципиальной схеме, созданной редактором Schematics, имеется транзистор с позиционным обозначением V1, его база подключена к цепи с именем 5, коллектора – 22, а эмиттер к неименованной цепи, которой по умолчанию присвоено имя $N_0004, то в списке соединений, составленном по команде Analyses/Create Netlist, появятся строки:

.ALIASES

Q_V1  Q1(C=22  B=5  E=$N_0004)

.ENDALIASES

Q_V1  22  5  $N_0004  KT315A

Здесь после директивы .ALIASES программы PSpice приведен список соответствий имен выводов символа транзистора именам цепей схемы, к которым они подключены. В последней строке указано имя транзистора на схеме, перечислены имена цепей, к которым подключены его выводы, и имя   модели.

Перечень стандартных символов вместе с их атрибутами, помещенных в библиотеки amb.slb, analog.slb, breakout.slb, marker.slb, port.slb, source.slb, special.slb, приведен в Приложении 1.



Перейдем к систематическому изложению синтаксиса шаблона TEMPLATE. Шаблон состоит из списка атрибутов. Атрибуты, состоящие из стандартных символов, копируются в список соединений без изменений. Стандартные символы состоят из алфавитно-цифровых символов, знаков “$”, “_”, пробелов и знаков пунктуации.

Если перед именем атрибута помещен специальный знак “@”, “?”, “~”, “#” или “&”, то в зависимости от его вида атрибуты шаблонов заменяются следующими величинами:

@<имя> – значением атрибута <имя>. В отсутствие указанного атрибута или его значения выдается сообщение об ошибке;

&<имя> – значением атрибута <имя>, если этот атрибут определен;

?<имя>s...s – заменяется текстом, помещенным между одинаковыми символами s, если атрибут определен;

~<имя>s...s – заменяется текстом, помещенным между одинаковыми символами s, если атрибут не определен;

 #<имя>s...s – производятся те же действия, что при наличии знака “?”, но остальная часть шаблона TEMPLATE удаляется.

Символы s, ограничивающие текст, могут быть любыми неалфавитными символами (сами они в список соединений не переносятся). Внутри этого текста допускается вложение, т. е. размещение атрибутов, предваряемых специальными знаками.

Знак “^” также специальный. Он заменяется указанием полного пути доступа к компонентам, имеющим иерархическую структуру. Для компонентов, находящихся на высшем уровне иерархии, в списке соединений этот знак заменяется знаком подчеркивания “_”.

Последовательность знаков “\n” приводит к переходу на следующую строку в списке соединений (так, шаблон TEMPLATE, который размещается на одной строке практически неограниченной длины, в списке соединений может быть размещен на нескольких строках).

Имена выводов в шаблоне предваряются знаком “%”. Имена выводов символов перечисляются в шаблоне в том порядке, в котором они должны быть записаны для каждого компонента по правилам PSpice (см. гл. 3, 4, 6). В списке соединений они замещаются именами цепей, к которым они подсоединены на схеме.


В шаблоне под именем вывода понимаются все символы, расположенные между символом “%” и первым разделителем (пробелом или запятой).

Как известно, в программе PSpice имена компонентов в списке соединений должны начинаться с префикса, обозначающего их тип (R – резистор, Q – биполярный транзистор и т. п.). Поэтому, чтобы избежать ошибок, имена символов компонентов в библиотеке символов редактора Schematics состоят из префикса типа, полного иерархического пути и позиционного обозначения. Это обеспечивается с помощью определенной структуры шаблона. Например, атрибут TEMPLATE резистора начинается конструкцией:

R^@REFDES .......

Приведем для иллюстрации перечень параметров нескольких компонентов и соответствующие им строки в списке соединений.

1. Резистор, включенный к узлам 2 и 5 схемы и имеющий позиционное обозначение R3, имеет атрибуты:

VALUE=1k

TC=0.001

PART=R

REFDES=R?

TEMPLATE=R^@REFDES %1 %2 @VALUE ?TC/TC=@TC/

В списке соединений ему соответствует строка:

R_R3 2 5 1k TC=0.001

Если атрибут TC (температурный коэффициент сопротивления) не будет задан, то в этой строке параметр ТС будет отсутствовать.

2. Биполярный транзистор, включенный между узлами 7 (коллектор), 10 (база) и 11 (эмиттер) и имеющий позиционное обозначение VT8, имеет атрибуты:

MODEL=KT315A

VALUE=

REFDES=Q?

TEMPLATE=Q^@REFDES %C %B %E @MODEL ?VALUE/@VALUE/

В списке соединений ему соответствует строка

Q_VT8 7 10 11 KT315A

Атрибут VALUE зарезервирован для введения значения коэффициента кратности AREA.

3. Переменное сопротивление R4, включенное между узлами 3, 4 и 5 (средняя точка), имеет атрибуты:

PART=POT

VALUE=1k

SET=0.5

REFDES=R?

TEMPLATE=RT^@REFDES %1 %t {(@VALUE*(1-@SET))+.001}

\nRB^@REFDES %t %2 {(@VALUE*@SET)+.001}

В списке соединений ему соответствуют две строки (благодаря наличию параметра \n):

RT_R4  3  5  {(1k*(1-0.5))+0.001} (верхняя часть потенциометра)

RB_R4  5  4  {(1k*0.5)+0.001} (нижняя часть потенциометра)



Здесь атрибут SET задает сопротивление нижней секции потенциометра.

4. Предположим, что имеется макромодель Z, имеющая два вывода A и B и параметр G. Если в основной схеме параметр G не определен, то в макромодель передается значение по умолчанию 1000. Для обеспечения возможности редактировать этот параметр при работе со схемой символу макромодели присвоим атрибут G и зададим шаблон TEMPLATE вида:

X^@REFDES %A %B Z PARAMS: ?G¦G=@G¦ ~G¦G=1000¦

Если эту макромодель, имеющую позиционное обозначение U22, подключить к узлам 11 и 12 и задать параметр G=1024, то в списке соединений будет создана строка

X_U22 11 12 Z PARAMS: G=1024

Если же на схеме не задать параметр G, то эта строка будет иной

X_U22 11 12 Z PARAMS: G=1000

5. Библиотечный элемент имеет атрибуты:

REFDES=LIB?

FILENAME=EVAL.LIB

TEMPLATE=.LIB "@FILENAME"

В списке соединений ему соответствует строка

.LIB "EVAL.LIB"

Здесь атрибут FILENAME задает имя файла библиотеки математических моделей. Обратим внимание, что для включения в задание на моделирование таких директив, как .PARAM, .LIB, .INC, .NODESET и др. (кроме директив анализа, которые задаются в пункте Analysis/Setup), создаются специальные символы, которые размещаются на чертеже (см. рис. 1.1). Имя директивы заносится в шаблон TEMPLATE символа, а ее содержание задается при редактировании соответствующего атрибута.  Специальные символы находятся в библиотеке special.slb (см. Приложение 1).

6. Резистор, сопротивление которого имеет случайный разброс, имеет атрибуты

REFDES=R?

R^@REFDES %1 %2  ?MODEL/@MODEL/  @VALUE  ?TC/TC=@TC/

VALUE=1k

TC=

MODEL=MR

Имя модели резистора, в которой задаются параметры случайного разброса его сопротивления, указывается в атрибуте MODEL.  Например, 5%-ный разброс сопротивления, имеющего равномерный закон распределения, задается с помощью модели

.model MR RES (R=1 DEV=5%)



Имя этой модели указывается в атрибуте MODEL=MR.

Текстовое описание модели .model ... может быть включено в любую имеющуюся текстовую библиотеку или в собственную библиотеку пользователя. В последнем случае ее имя должно быть включено в файл nom.lib или, что более удобно, указано в файле конфигурации msim.ini по команде Analysis/Library and Include Files.

7.  Вариация параметров компонентов, например сопротивления резистора, может осуществляться двояко.

Во-первых, сопротивление резистора задается на схеме не численно, а с помощью буквенного параметра, помещаемого в фигурные скобки, например {PR}.  Имя и численное значение буквенного параметра указываются с помощью атрибутов символа PARAM из библиотеки special.slb (см. Приложение 1). После этого имя варьируемого параметра можно указывать на панели Parametric

команды меню Analysis/Setup.

Во-вторых, можно создать текстовое описание макромодели, в которую численные значения параметров передаются через ее заголовок. Приведем пример текстового описания модели резистора,  в котором использованы следующие параметры:  {PR} – сопротивление, {DEV} – разброс случайного значения сопротивления, {TC1} – температурный коэффициент сопротивления:

.subckt MR  1  2  PARAMS: PR=1k  DEV=0.1  TC1=0.001

R1  1  2  MTR  {PR}

.model  MTR  RES  (R=1  DEV={DEV}  TC1={TC1})

.ends

В заголовке макромодели после ключевого слова PARAMS указаны значения параметров, устанавливаемые по умолчанию. Для изменения этих значений используется символ param из библиотеки special.slb (см. выше).

8. Создание макромоделей. Пакет Design Center поддерживает иерархические структуры. Поэтому можно создать графическое обозначение сложного компонента, например операционного усилителя (ОУ), которое наносится на принципиальную схему, и одновременно создать его принципиальную схему, размещаемую на нижнем уровне иерархии. Однако при этом перед началом моделирования схемы, содержащей ОУ, будет тратиться время на составление текстового описания макромоделей ОУ  по  его схеме замещения.


Поэтому более разумно один раз нарисовать схему замещения ОУ, в меню Analyses

выполнить команду моделирования Simulate

и затем отредактировать текстовое описание схемы замещения ОУ, созданное в файле выходных данных с расширением .out, превратив его в описание макромодели .subsck. Аналогичные операции выполняются автоматически по команде File/Simbolize.

Заметим, что конкретные значения атрибутов компонентов (сопротивление резистора, имя файла библиотеки моделей и т. п.) не обязательно задавать в библиотеке символов – их можно задать при редактировании атрибутов компонентов, занесенных на схему.

9. При создании символа нового компонента разумно не выполнять всю работу заново, а скопировать графику и набор атрибутов какого-нибудь похожего компонента и затем их отредактировать.  Рекомендуется следующая последовательность действий:

 а) по  команде  File/New  открыть новую библиотеку или по команде File/Open

открыть для редактирования существующую, указав по дополнительному запросу ее имя;

 б) скопировать графику  компонента-прототипа  командой  Part/Copy Part, заполнив поля:

     New Part Name – имя нового символа (заполняется вначале);

     Existing Part Name – имя существующего символа.

При копировании символа из другой  библиотеки  имя  библиотеки предварительно указывается после выбора опции Select Lib;

   в) командой Part/Attributes изменить имя математической модели компонента, записанного на строке атрибута MODEL=<имя модели>. Для этого выделить курсором строку MODEL и на панели Value

ввести новое имя модели (обычно совпадает с именем символа).  После этого сохранить измененный атрибут нажатием кнопки Save Attr. При необходимости вводятся новые атрибуты;

     г) по  команде Part/Definition

указать (отредактировать) имя символа-прототипа в пункте AKO Name (применение прототипов сокращает объем библиотеки).  Прототип AKO должен находиться в той же библиотеке. Например,  в библиотеке opamp.slb  используются следующие прототипы:  op5 – ОУ с 5 выводами, op6  – ОУ с 6 выводами, op7  – ОУ с 7 выводами.

10. После завершения редактирования символа по команде File/Save записывают на диск обновленную библиотеку символов. Предварительно редактор запрашивает о необходимости занести в библиотеку изменения в редактируемый символ:

Save changes to Part?

При положительном ответе (OK) для вновь создаваемых символов запрашивается их имя:

Enter name for current symbol:

После ввода с клавиатуры имени символа запрашивается имя библиотеки, если оно не было определено ранее:

Enter file name to save as:

После этого программа Schematics возвращается в режим редактирования схем.